篇一 :计数器实验报告

实验4 计数器及其应用

  一、实验目的

  1、学习用集成触发器构成计数器的方法

  2、掌握中规模集成计数器的使用及功能测试方法

  二、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

  1、中规模十进制计数器

CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。

计数器实验报告计数器实验报告

图5-9-1 CC40192引脚排列及逻辑符号

图中 —置数端 CPU—加计数端 CPD —减计数端

…… …… 余下全文

篇二 :实验四、 计数器的设计 电子版实验报告

实验四:计数器的设计

实 验 室:          实验台号:          日  期:         

     专业班级:          姓   名:           学  号:         

一、实验目的

1. 通过实验了解二进制加法计数器的工作原理。

2. 掌握任意进制计数器的设计方法。

二、实验内容

(一)用D触发器设计4位异步二进制加法计数器

…… …… 余下全文

篇三 :数电 计数器实验报告

试验七 计数器

李* 电子信息科学与技术 

一、试验目的

1.掌握中规模集成计数器74LS160,161的逻辑功能及使用方法。

2.掌握74LS160计数器的级联方法。

3.学习用中规模集成计数器实现任意进制计数器。

二、试验器材74LS161 74LS00 74LS160

三、试验原理见讲义

四、试验内容

1.用74LS161设计模13计数器,并用数码管显示试验结果。

2.设计用3位数码管指示的60进制计数器,并用3只开关控制计数器数据保持,计数及清0功能。

3试设计一个可控分频器,使分频比可为1/2,1/3,1/4,1/5,1/6,1/7,1/8,1/9变化,CP脉冲的分频结果用示波器指示,分频值用数码管指示。

4、进出管理统计

…… …… 余下全文

篇四 :实验报告:计数器

实  验  报  告

班    级          学号        姓  名      同组人            

实验日期            室温        大气压        成  绩       

…… …… 余下全文

篇五 :计数器实验报告

计数器实验报告

一实验内容

1 静态测试芯片74LS90的逻辑功能。、

2 动态测试芯片73LS90的芯片功能,画出clk与其中一个输出的波形图。

3 用一块74LS90芯片连接一个模2,模5计数器。

4用两个74LS90级联成一个模24计数器。

实验条件

数字万用表,模拟示波器,计算机电路基础实验箱,芯片:74LS90两片,74LS00一片。

实验原理

静态测试芯片74LS90的逻辑功能。

电路图

其中clkA连接单脉冲,其他输入接电平控制按键,输出接到二极管指示灯。经过测试得到真值表为

这个可以看出器件清零和置九都是两个高电平有效。其他的可以实现计数功能。

2 动态测试芯片73LS90的芯片功能,画出clk与其中一个输出的波形图。电路图还是静态测试时候的电路图,把clk改接到连续脉冲输入即可。

途中上面的波形为模二计数器中Qa的输出波形,下面为clk输入波形,其中在波形显示控制旋钮中,两个通道的每格设置值为2.0V

时基为0.2us。在把示波器接地后可以知道,各个波形的零刻度线在其低电平最靠近的水平刻度线上。

则可以看出输入输出波形的各参数为

…… …… 余下全文

篇六 :十进制计数器实验报告

文本框:   [广东水利电力职业技术学院]

 

设计一个动态显示十进制计数器

一,前言

   本实验将用到七段数码显示译码器.译码器是用来驱动各种显示器件,从而将用二进制代码表示的数字,文字,符号翻译成人们习惯的形式直观地显示出来的电路.这种显示器可用多种发光器件构成.

二,任务书

   大家一起讨论,把程序编写出来.我负责建立文件,分配管脚,下载程序,检查错误;罗文驰负责写程序,检查错误;翟国豪负责原理图仿真调试,检查错误;林国强负责硬件的连接,整个设计的解说.

三,设计要求

在七段数码管上自动显示1--9.不用按键控制.

四,设计目的

1,了解数码管的工作原理.

2,学习七段数码管显示译码器的设计.

3,学习Verilog的CASE语句及多层次设计方法.

五,设计方案

本实验要求在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值.在试验中,数字时钟选择1KHZ作为扫描时钟,用四个开关作为输入,当四个开关置为一个二进制数时,在数码管上显示其十六进制的值.实验板中的拨动开关与FPGA的接口连接.

六,设计原理

七,硬件要求

主芯片EPM240T100C5,七段数码管.

…… …… 余下全文

篇七 :秒计数器实验报告

秒计数器实验报告

一、实验目的

(1)显示60秒计时功能。      

(2)计时器为60秒递减计时器      

(3)根据原理图分析各单元电路的功能。   

(4)熟悉电路中所用到的各集成块的管脚及功能。  

(5)进行电路的装接、调试,直到电路能达到规定的设计要求。

二、实验器材

三、实验原理及步骤

秒计时器的总体方案框图如图1所示,它包括秒脉冲发生器、计数器、译码显示电路、控制电路四个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成60秒计时功能,控制电路完成计数器的直接清零、启动计数、译码显示电路的显示功能。

当接通信号源时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“59”字样,计数器开始计数;知道数码管显示“00”为一个周期循环计数。

安装注意事项:安装之前请不要急于动手,应先查阅相关的技术资料以及本说明,然后对照原理图,了解印刷电路板、元件清单,并分清各元件,了解各元件的特点、作用、功能,同时核对元件数量。

正确插入元件:按照从低到高、从小到大的顺利安装,极性要符合规定,对于手工安装,应分批安装。1、CD4518计数的复位端靠二极管和复位电阻复位,改变二极管可以实现其它进制的计数器。复位电阻取较小的阻值有利于提高向前进位并复位的可靠性,但耗电量变大(微安、毫安计算),(在数码管点亮时复位耗电可忽略不计。2、集成电路的型号不要装错,脚序不要装错,集成电路的1脚在有缺口方的左下脚。3、、电容说明:陶瓷电容不分正负极。4、走时数度:本板配备了高精度的石英晶振,想走时精度更高(例如月误差小于10钞)请参考有关书籍增加频率微调电容,使用稳压电源供电,并将电路板放置在温差变化小的地方。

…… …… 余下全文