eda技术课程总结与心得

【第一章】1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?

ANS:

2、EDA技术的优势是什么?

ANS: EDA依赖功能强大的计算机在EDA工具软件平台上自动的完成逻辑化简、逻辑分

割、逻辑综合、结构综合,以及逻辑优化和仿真等功能,直至实现既定性能的电子线路系统

功能。EDA使得设计者的工作几乎仅限于利用软件的方式,即利用硬件描述语言HDL和

EDA工具软件来完成对系统硬件功能的实现 + P 10

3、EDA的设计流程包括哪几个环节?

ANS: ①设计输入(原理图/HDL文本编辑) ②综合 ③ FPGA/CPLD 适配 ④ 时序仿真与

功能门级仿真 ⑤FPGA/CPLD编程下载 ⑥FPGA/CPLD器件电路硬件检测。

4、硬件描述语言的种类有哪些?

ANS: VHDL 、Verilog HDL、SystemVerilog、System C 等

5、自顶向下设计方法的优点是什么?

ANS: 过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及

时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面

积耗用,降低功耗和成本等。在EDA技术应用中,自顶向下的设计方法,就是在整个设计

流程中各设计环节逐步求精的过程。

6、ip核可分为哪几类?

ANS: ①软IP 、②固IP、③硬IP

7、ip在EDA技术的应用和发展中的意义是什么?

ANS: IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植

入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计

风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保

证。

【第二章】1、可编程逻辑器件经历哪些发展过程?

ANS:

2、Altera公司的PLD芯片主要有哪些系列?

ANS: 按照推出的先后顺序:Classic 、MAX、FLEX、APEX、ACEX、APEX 2、Cyclone/2/3/4、

MAX2、Stratix-1/2/3/4/6.

【第三章】1、一个完整的VHDL程序包括哪几个部分?其作用是什么?

ANS: ①实体描述部分 ②结构体描述部分 作用 略

2、VHDL中标示符的命名规则是什么?

ANS: 标识符是设计者在VHDL程序中自己定义的,用于标识不同名称的词语。例如实体

名、端口名等。具体规则如下:

·有效的字符:包括26个大小写英文字母,数字包括0~9以及下划线。

·任何标识符必须以英文字母开头

·必须是单一的下划线,且前后都要有字母或数字。

·标识符中的英文字母不区分大小写。

·允许包含图形符号(如回车符、换行符等),也允许包含空格符。

3、端口模式有哪些?

ANS: ①IN 2、OUT 3、INOUT双向端口 4、BUFFER 缓冲端口

4、VHDL中有哪些基本的数据类型?

ANS: bit、bit_vector、 std_logic、std_logic_vector、boolean(布尔)、natural (自然数)、integer

(整数)、signed(有符号)、unsigned(无符号)、array(数组类)、record(记录类型)、

Subtype(子类型)、用户自定义类型。

5、常用的VHDL程序包有哪些?

ANS:STD_LOGIC_1164、STD_LOGIC_ARITH、STD_LOGIC_SIGNED、

STD_LOGIC_UNSIGNED

【第五章】1、简述信号和变量的区别 ANS:比较对象 信号SIGNAL 变量VARIABLE

基本用法 用于作为电路中的信号连线 用于作为进程中局部数据存储单元

适用范围 在整个结构体内的任何地方都适用 只能在所定义的进程中使用

行为特性 在进程最后才对信号赋值 立即赋值

简单的说,信号是全局的,用于结构体中并行语句间数据流的传递;变量则是局部的,他主要用

于单个进程中中间变量的存储.

2、进程的特点是什么?

ANS:(1)进程结构内部的所有语句都是顺序执行的。

(2)多进程之间是并行执行的,并可访问结构体或实体中所定义的信号。

(3)进程的启动是由进程标识符PROCESS后的信号敏感表所标明的信号来触发的,也可以用WAIT语句等待

一个触发条件的成立。

(4)各进程之间的通信是由信号来传递的。

(5)进程语句的顺序性

(6)进程的启动与执行过程

当进程中定义的任一敏感型号发生更新(变化)时,由顺序语句定义的行为就要重复执行一次。当进程中

最后一个语句执行完毕后,执行过程将自动返回到进程的起始端,以等待下一次敏感信号的变化。

3、VHDL语言有哪几种描述方式?

ANS: 行为描述、数据流描述、结构描述

【第六章】1、列举5个宏功能模块

ANS: ① 算数组件,包括累加器、加法器、乘法器和LPM算数函数等。

② 组合电路,包括多路选择器、比较器和LPM门函数等。

③ I/O组件,包括时钟数据恢复(CDR)、锁相环(PLL)等。

④ 存储器编译器件,包括FIFO Partitioner、RAM和ROM宏功能模块等。 ⑤ 存储组件,包括存储器、移位寄存器宏模块和LPM存储器函数等。

2、Quartus能够接受的两种RAM或ROM初始化文件的格式是?

ANS:.mif .hex

3、给出锁相环的工作原理。

ANS: 锁相环路是一个相位反馈自动控制系统。它由以下三个基本部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。其组成方框图如下所示。

锁相环的工作原理:

?

?

?

?

?

4、已知实验板上有一个10MHZ的有源晶振,现在要产生1MHZ的正弦波,请提出设计方案

【第七章】1、状态机的优点

ANS:① 高效的顺序控制模型 ②容易利用现成的EDA优化工具③性能稳定④设计实现效率高⑤高速性能

2、一般的状态机结构包括哪几个部分?各自的功能是什么?

ANS: ①说明部分、说明部分用tpye语句定义新的数据类型,其元素通常用状态机的状态名来定义。状态变量(即现态和次态)应定义为信号,便于信息额传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明部分一般放在architecture 和 begin之间。

②主控时序过程、所谓主控时序过程是指负责状态机运转和在时钟驱动下负责状态转换。 压控振荡器的输出经过采集并分频; 和基准信号同时输入鉴相器; 鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 控制VCO,使它的频率改变; 这样经过一个很短的时间,VCO 的输出就会稳定于某一期望值。

③主控组合过程、顾名思义,主控组合进程也可称为状态译码过程,其任务是根据外部输入的控制信号,包括来自状态机外部的信号和来自状态机内部其他非主控的组合或时序进程的信号,以确定对外输出或对内部其他组合或时序进程输出信号的内容。

④辅助过程、辅助进程用于配合状态机工作的组合进程或时序进程。

3、状态机的状态编码有哪几种?各自的优缺点是什么?

ANS:① 直接输出型编码 这种编码最典型的应用就是计数器。直接输出型编码方式就是所谓的用户自定义编码方式,它的优点是输出速度快,不太可能出现毛刺现象。缺点是程序的可读性差,用于状态译码的组合逻辑资源比其他以相同触发器数量触发器

4构成的状态机多,而且控制非法状态出现的容错技术要求比较高。

②顺序编码 优点是 这种编码方式最为简单,在传统设计技术中最为常用,其使用的触发器最少,剩余的非法状态也最少,容错技术较为简单。缺点也很多,如常常会占用状态转换译码组合逻辑较多的资源,特别是有的相邻状态或不相邻状态的状态转换时涉及多个触发器的同时状态转换,因此将耗费更多的转换时间,而且容易出现毛刺现象。

③一位热码状态编码 一位热码状态编码虽然占用了较多的触发器,但其简单的编码方式大为简化了状态译码逻辑,提高了状态转换速度,增强了状态机的工作稳定性,这对于含有较多的时序逻辑资源、相对较少的组合逻辑资源的FPGA器件是最好的解决方案。

常用的去除毛刺的方法有哪几种?

ANS: ①延时方式去毛刺 ②逻辑方式去毛刺 ③ 定时方式去毛刺 P261

【第八章】1、资源优化可以通过哪几种方式实现

ANS:①资源共享 ②逻辑优化 ③串行化

2、速度优化可以通过哪几种方式实现?

ANS:① 利用流水线设计技术 ②寄存器配平 ③关键路径法 ④乒乓操作法

【编程题】1、用VHDL实现某一芯片的功能

2、计数并译码显示

3、键盘扫描并显示

 

第二篇:EDA课程心得

EDA课程学习心得

这学期的后半期,我们开了EDA技术这门课程。EDA的中文解释是电子设计自动化,这门课程主要是用于对现代高新电子产品的设计,EDA在硬件方面融合了大规模集成电路技术,是一款综合性很强的工具。

这门课程的学习在教学中应该以实践为主,我们每周有两节课,一节是理论课学习,一节是实践课。刚开始上理论课程的时候,主要是对该软件的使用做介绍,而在实践课程上,我们应该对软件进行运用,但是,有很多的同学却没有干与课程相关的事,只有一部分的同学在练习。开始的时候,对软件很陌生,都是几个同学在一起研究,并且询问老师,才慢慢的掌握了使用方法。在后面的理论学习中,老师主要是讲解编程的一些语法,并且只讲了一些常用的,像信号量,变量,还有PROCESS语句等,这些是编程中常用的一些知识。在实践课上,主要是以实验指导书为主,根据指导书上的内容进行编程,画图仿真来对EDA技术的运用有更深入的理解。在每周一节理论课的学习情况下,很多的时间都是很珍贵的,学习理论的时间就那么一点,那么,肯定就不可能学习的很全面,老师主要是讲方法,更多的是要我们自己努力。这本教材还很不错,讲解的很详细,让初学者也能理解。然后实践课程是可以在课后也能练习,课上发现问题就能及时的询问老师,但是,课后就只能询问同学,或者是将问题留到课堂上再问老师。 这门课程学完最大的感触就是学习的时间太短了,这门课程听老师说对我们的以后工作是有很大的帮助的,但是我们却只学习了半个学期,只用了32个课时就结束了,这肯定是不够的。EDA技术可以完成各种自动设计过程,是目前最为瞩目的一项技术,它有强大的逻辑设计仿真测试技术。它的仿真测试技术只要通过计算机,就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统上的目标器件进行所谓的边界扫描测试。这一切都极大的提高了大规模的系统电子设计的自动化程度。现在的很多设计工作都需要先进行计算机仿真,如果没有错误,在运用到实际的硬件中,这不仅能提高设计速度,还能减少因为设计失误而造成的原料浪费。学好一门仿真软件对于我们本科学生是必不可少的,因为以后毕业了如果从事设计方向的工作,那必然要求我们有这样的一门技术。

以下是我在这门课程的学习过程中总结的几点建议,希望老师能够耐心的看

完:

(1)、根据学生的层次,设计一种适合学生的教学方案。像我们班这样的基础不太好的班级,可能采用重实践的方法更合适一些。上课讲很多的理论知识,都不及在机房自己练习来的快。而且,老师有时候在课堂上也不知道该给我们讲些什么我们才会更好的接收,这样的话,在我们自己动手实践的过程中我们发现了问题,经过询问老师和与同学一起研究,这样,就可以克服难题,而且,经过这样的经历,我们对于该问题也会有很深的印象,在以后的应用中出现类似的问题我们也会更快的找到方法解决。

(2)、在实践课上要严抓课堂秩序。在实践课的时候,很多同学都不做与课程相关的事,要么翻纸盘,要么几个一起玩游戏,而真正在做实验的同学就只有那么少许的人。很多人都是在一开始就养成这样的坏习惯的,如果在刚开始就严抓课堂秩序,那么,很多人可能就会按照要求循规蹈矩了。在实践课上是很重要的学习机会,本来课程安排的时间就很少,不好好的利用,那么肯定是一项严重的损失。

(3)、上理论课的时候尽量的多的将一些知识点讲详细一些。我们学习的都是很基础的知识,不要求深入,但是应该尽量的将基础的东西都掌握了。在这门课程中,我们只学习了几章较为基础和重要的内容,只要掌握了这几章也就能进行简单的编程,在这样的情况下,我们就更是需要老师帮助我们,引导我们,理清这些知识点,从而掌握它们。

以上是我在这门课程的学习中的一些感触和心得,虽然这门课程的学习结束了,但是,有一些学习方法是同样可以运用到其他的课程学习中,在以后的学习中,我们还应该坚持,努力,将学习进行到底!