模电&数电知识总结

1. 模电和数电的主要内容,学习目的。

参考要点:

① 模电主要讲述对模拟信号进行产生、放大和处理的模拟集成电路;数电主要是通过

数字逻辑和计算去分析、处理信号,数字逻辑电路的构成及运用。由于数字电路稳定性高,结果再现性好;易于设计等诸多优点,因此是今后的发展方向。但现实世界中信息都是模拟信息,模电是不可能淘汰的。单就一个系统而言模电部分可能会减少,理想构成为:模拟输入—AD采样(数字化)--数字处理—DA转换—模拟输出。 ② 电力专业学生学习模电数电,了解常见的模拟数字集成电路,掌握简单的电路设计,

对于以后工作中遇到的弱电控制强电等情况很有帮助。而且目前我国正在建设智能电网,模电数电的这些知识为电网高速通信网络,智能表计等智能电网核心设备打下了基础。

模电

一、 模拟信号和数字信号。

在时间上和幅值上均是连续的信号称为模拟信号,时间离散、数值也离散的信号称为数字信号。随着计算机的广泛应用,绝大多数电子系统都采用计算机来对信号进行处理,由于计算机无法直接处理模拟信号,所以需要将模拟信号转换成数字信号。

二、 放大电路的类型和主要性能指标。

① 电压放大、电流放大、互阻放大和互导放大。电压放大电路主要考虑电压增益,电

流放大电路主要考虑电流增益,需要将电流信号转换为电压信号可利用互阻放大电路,把电压信号转换成与之相应的电流输出,这种电路为互导放大电路。这四种放大电路模型可实现相互转换。

② 输入电阻、输出电阻、增益、频率响应和非线性失真。输入电阻等于输入电压与输

入电流的比值,它的大小决定了放大电路从信号源吸取信号幅值的大小;输出电阻的大小决定了它带负载的能力,在信号源短路和负载开路情况下,在放大电路输出端加一个测试电压,相应产生一测试电流就能求得输出电阻;增益实际上反映了放大电路在输入信号控制下,将供电电源能量转换为信号能量的能力;放大电路频率响应指在输入正弦信号情况下,输出随输入信号频率连续变化的稳态响应;由于元器件特性的非线性和放大电路工作电源受有限电压的限制而造成的失真为非线性失真。

三、 集成运算放大器简介、组成和工作区域。

① 集成运算放大器是一种高增益直接耦合放大器,它作为基本的电子器件,可以实现

多种功能电路,如电子电路中的比例、求和、求差、积分和微分等模拟运算电路。 ② 它由输入级差分放大、中间级电压放大、输出级功率放大和偏置电路四个部分组成。

输入级由差分式放大电路组成,利用它的电路对称性可提高整个电路的性能(抑制温漂和提高共模抑制比);中间电压放大级的主要作用是提高电压增益;输出级的电压增益为1,但能为负载提供一定的功率;电流源电路构成偏置电路和有源负载电路。

③ 运算放大器有两个工作区域。在线性区它放大小信号;输入为大信号时,它工作在

模电amp数电知识总结

非线性区,输出电压扩展至饱和值

需引入深度负反馈。

四、 理想运放的模型。

=和-=。 。当使运放电路稳定地工作在线性区,均① 输出电压的饱和极限值等于运放的电源电压,即+② 运放的开环电压增益很高,以至差分输入电压(

运放进入饱和区。

③ 与前述相反,若未达到饱和极限,则差分输入电压(

处于和之间,则运放必将工作在线性区。 )的值尽管很小,仍可驱使)必趋近于0

模电amp数电知识总结

值。当④ 内部的输入电阻的阻值很高,因而可近似认为它为无限大。

⑤ 内部的输出电阻的阻值很低乃至可近似认为它为零。

五、 虚短和虚断。 自动地跟踪,使,或≈0,这种现输出通过负反馈的作用,使象称为虚假短路,简称虚短。由于同相和反相两输入端之间出现虚短现象,而运放的输

模电amp数电知识总结

入电阻的阻值又很高,因而流经两输入端之间的≈0,这种现象称为虚断。应当注意的是,虚短是本质的,虚断是派生的。虚短和虚断概念对分析由运放组成的各种线性应用电路非常重要,用它可求出运放电路输出和输入的函数关系。

六、 PN结的形成及特性。

① PN结是半导体二极管和组成其他半导体器件的基础,它是由P型半导体和N型半

导体相结合而形成的。对纯净的半导体(如硅材料)掺入受主杂质或施主杂质,便可制成P型和N型半导体。空穴参与导电是半导体不同于金属导电的重要特点。 ② 当PN结外加正向电压(正向偏置)时,耗尽区变窄,有电流流过;而当反加方向

电压(反向偏置)时,耗尽区变宽,没有电流流过或电流极小,这就是半导体二极管的单向导电性,也是二极管最重要的特性。

关于半导体和PN结往年面试试题(1-9):

1、半导体材料制作电子器件与传统的真空电子器件相比有什么特点?

答:频率特性好、体积小、功耗小,便于电路的集成化产品的袖珍化,此外在坚固抗震可靠等方面也特别突出;但是在失真度和稳定性等方面不及真空器件。

2、什么是本征半导体和杂质半导体?

答:纯净的半导体就是本征半导体,在元素周期表中它们一般都是中价元素。在本征半导体中按极小的比例掺入高一价或低一价的杂质元素之后便获得杂质半导体。

3、空穴是一种载流子吗?空穴导电时电子运动吗?

答:不是,但是在它的运动中可以将其等效为载流子。空穴导电时等电量的电子会沿其反方向运动。

4、制备杂质半导体时一般按什么比例在本征半导体中掺杂?

答:按百万分之一数量级的比例掺入。

5、什么是N型半导体?什么是P型半导体?当两种半导体制作在一起时会产生什么现象? 答:多数载子为自由电子的半导体叫N型半导体。反之,多数载子为空穴的半导体叫P型半导体。P型半导体与N型半导体接合后 便会形成P-N结。

6、PN结最主要的物理特性是什么?

答:单向导电能力和较为敏感的温度特性。

7、PN结还有那些名称?

答:空间电荷区、阻挡层、耗尽层等。

8、PN结上所加端电压与电流是线性的吗?它为什么具有单向导电性?

答:不是线性的,加上正向电压时,P区的空穴与N区的电子在正向电压所建立的电场下相互吸引产生复合现象,导致阻挡层变薄,正向电流随电压的增长按指数规律增长,宏观上呈现导通状态,而加上反向电压时,情况与前述正好相反,阻挡层变厚,电流几乎完全为零,宏观上呈现截止状态。这就是PN结的单向导电特性。

9、在PN结加反向电压时果真没有电流吗?

答:并不是完全没有电流,少数载流子在反向电压的作用下产生极小的反向漏电流。

七、 二极管电路的简化模型。

由于二极管是非线性器件,所以通常采用二极管的简化模型来分析设计二极管电路。这些模型主要有理想模型、恒压降模型、折线模型、小型号模型等。在分析电路的静态或大信号情况时,根据输入信号的大小,选用不同的模型;只有当信号很微小且有一静态偏置时,才采用小信号模型。指数模型主要在计算机仿真模型中使用。

理想模型:正向偏置时,管压降为0,反向偏置时,电阻为无穷大,电流为0。 恒压降模型:二极管导通后,其管压降认为是恒定的,且不随电流而变。

折线模型:在恒压降模型的基础上,做一定的修正,即认为二极管的管压降不是恒定的,而是随着电流的增加而增加,在模型中用一个电池和一个电阻来作进一步的近似。 小信号模型:一般首先分析电路的静态工作情况,求得静态工作点Q;其次,根据Q点算出微变电阻;再次,根据小信号模型交流电路模型,求出小信号作用下电路的交流电压、电流;最后与静态值叠加,得到完整的结果。

八、 BJT。

① 双极节型三极管简称BJT,是由两个PN结组成的三端有源器件,分NPN和PNP两

种类型,它的三个端子分别称为发射极e、基极b和集电极c。由于硅材料的热稳定性好,因而硅BJT得到广泛应用。

② 表征BJT性能的有输入输出特性,均称之为V-I特性,其中输出特性用得较多。从

输出特性上可以看出,用改变基极电流的方法可以控制集电极电流,因而BJT是一种电流控制器件。

③ BJT的电流放大系数是它的主要参数,按电路组态的不同有共射极电流放大系数β

和共基极电流放大系数α之分。为了保证器件的安全运行,还有几项极限参数,如集电极最大允许功率损耗以注意。

④ BJT在放大电路中有共射、共极和共基三种组态,根据相应的电路输出量与输入量

之间的大小和相位的关系,分别将它们称为反向电压放大器、电压跟随器和电流跟随器。三种组态中的BJT都必须工作在发射结正偏,集电结反偏的状态。

九、 放大电路的分析方法。 和若干反向击穿电压,如等,使用时应当予

放大电路的分析方法有图解法和小信号模型分析法,前者是承认电子器件的非线性,后者则是将非线性特性的局部线性化。通常使用图解法求Q点,而用小信号模型分析法求电压增益、输入电阻和输出电阻。

十、 放大电路静态工作点的稳定问题。

放大电路静态工作点不稳定的原因主要是由于受温度的影响。常用的稳定静态工作点的电路有射极偏置电路等,它是利用反馈原理来实现的。

十一、 模拟集成电路种类。

运算放大器、宽频带放大器、功率放大器、模拟乘法器、模拟锁相环、模-数和数-模转换器、稳压电源和音像设备中常用的其他模拟集成电路等。

十二、 电流源电路。

电流源电路是模拟集成电路中的基本单元电路,其特点是直流电阻小,动态输出电阻(小信号电阻)很大,并具有温度补偿作用。常用来作为放大电路的有源负载和决定放大电路各级Q点的偏执电流。

十三、 差分式放大电路。

差分式放大电路是模拟集成电路的重要组成单元,特别是作为集成运放的输入级,它既

能放大直流信号,又能放大交流信号;它对差模信号具有很强的放大能力,而对共模信号却具有很强的抑制能力。由于电路输入(双端、单端)、输出(双端、单端)方式的不同组合,共有四种典型电路。分析这些电路时,要着重分析两边电路输入信号分量的不同,至于具体指标的计算与共射的单级电路基本一致。差分式放大电路要得到高的

(共模抑制比),在电路结构上要求两边电路对称;偏置电流源电路要有髙值的动态输出电阻。

十四、 调制和解调。

调制和解调在通信、广播、电视和遥控等领域中得到了广泛的应用。利用模拟乘法器的

功能很容易实现调制和解调功能。

调制 现以无线电调幅广播为例来说明调幅原理。在这种调制过程中,一般情况下,音

频信号需用高频信号通过无线方式来运载,这里高频信号称为载波信号,音频信号称为调制信号,将音频信号“装载”于高频信号的过程称为调制。

解调 调幅波的解调亦称检波,是调幅的逆过程,即从调幅波提取调制(音频)信号的

过程称为解调。

十五、 放大电路中的噪声和干扰。

放大电路中噪声和干扰的产生和抑制是电子工程技术中的重要基础知识。要制作高质量

的放大器,不仅需要正确地设计电路,合理地选择元器件,而且对干扰和噪声的抑制应予以足够的重视。

关于二极管、三极管、放大电路往年面试试题(10-47):

10、二极管最基本的技术参数是什么?

答:最大整流电流。

11、二极管主要用途有哪些?

答:整流、检波、稳压等。

12、晶体管是通过什么方式来控制集电极电流的?

答:通过电流分配关系。

13、能否用两只二极管相互反接来组成三极管?为什么?

答:否;两只二极管相互反接是通过金属电极相接,并没有形成三极管所需要的基区。

14、什么是三极管的穿透电流?它对放大器有什么影响?

答:当基极开路时,集电极和发射极之间的电流就是穿透电流,它和集电极-基极反向漏电流都是由少数载流子的运动产生的,所以对温度非常敏感,当温度升高时二者都将急剧增大。从而对放大器产生不利影响。因此在实际工作中要求它们越小越好。

15、三极管的门电压一般是多少?

答:硅管一般为0.5伏.锗管约为0.2伏.

16、放大电路放大电信号与放大镜放大物体的意义相同吗?

答:不相同。

17、在三极管组成的放大器中,基本偏置条件是什么?

答:发射结正偏;集电结反偏。

18、三极管输入输出特性曲线一般分为几个什么区域?

答:一般分为放大区、饱和区和截止区。

19、放大电路的基本组态有几种?它们分别是什么?

答:三种,分别是共发射极、共基极和共集电极。

2、在共发射极放大电路中,一般有哪几种偏置电路?

答:有上基偏、分压式和集-基反馈式。

21、静态工作点的确定对放大器有什么意义?

答:正确地确定静态工作点能够使放大器有最小的截止失真和饱和失真,同时还可以获得最大的动态范围,提高三极管的使用效率。

22、放大器的静态工作点一般应该处于三极管输入输出特性曲线的什么区域?

答:通常应该处于三极管输入输出特性曲线的放大区中央。

23、在绘制放大器的直流通路时对电源和电容器应该任何对待?

答:电容器应该视为开路,电源视为理想电源。

24、放大器的图解法适合哪些放大器?

答:一般适合共射式上基偏单管放大器和推挽式功率放大器。

25、放大器的图解法中的直流负载线和交流负载线各有什么意义?

答:直流负载线确定静态时的直流通路参数。交流负载线的意义在于有交流信号时分析放大器输出的最大有效幅值及波形失真等问题。

26、如何评价放大电路的性能?有哪些主要指标?

答:放大电路的性能好坏一般由如下几项指标确定:增益、输入输出电阻、通频带、失真度、信噪比。

28、放大器的通频带是否越宽越好?为什么?

答:不!放大器通频带的宽度并不是越宽越好,关键是应该看放大器对所处理的信号频率有无特别的要求!例如选频放大器要求通频带就应该很窄,而一般的音频放大器的通频带则比较宽。

29、放大器的输入输出电阻对放大器有什么影响?

答:放大器的输入电阻应该越高越好,这样可以提高输入信号源的有效输出,将信号源的内阻上所消耗的有效信号降低到最小的范围。而输出电阻则应该越低越好,这样可以提高负载上的有效输出信号比例。

30、设计放大器时,对输入输出电阻来说,其取值原则是什么?

答:高入低出。

31、放大器的失真一般分为几类?

答:单管交流小信号放大器一般有饱和失真、截止失真和非线性失真三类、推挽功率放大器还可能存在交越失真。

32、放大器的工作点过高会引起什么样的失真?工作点过低呢?

答:饱和失真、截止失真

33、放大器的非线性失真一般是哪些原因引起的?

答:工作点落在输入特性曲线的非线性区、而输入信号的极小值还没有为零时会导致非线性失真。

38、影响放大器的工作点的稳定性的主要因素有哪些?

答:元器件参数的温度漂移、电源的波动等。

39、在共发射极放大电路中一般采用什么方法稳定工作点?

答:引入电流串联式负反馈。

40、单管放大电路为什么不能满足多方面性能的要求?

答:放大能力有限;在输入输出电阻方面不能同时兼顾放大器与外界的良好匹配。

41、耦合电路的基本目的是什么?

答:让有用的交流信号顺利地在前后两级放大器之间通过,同时在静态方面起到良好地隔离。

42、多级放大电路的级间耦合一般有几种方式?

答:一般有阻容耦合、变压器耦合、直接耦合几种方式

43、多级放大电路的总电压增益等于什么?

答:等于各级增益之乘积。

44、多级放大电路输入输出电阻等于什么?

答:分别等于第一级的输入电阻和末级的输出电阻。

45、直接耦合放大电路的特殊问题是什么?如何解决?

答:零点漂移是直接耦合放大电路最大的问题。最根本的解决方法是用差分放大器。

46、为什么放大电路以三级为最常见?

答:级数太少放大能力不足,太多又难以解决零点漂移等问题。

47、什么是零点漂移?引起它的主要原因有那些因素?其中最根本的是什么?

答:放大器的输入信号为零时其输出端仍旧有变化缓慢且无规律的输出信号的现象。生产这种现象的主要原因是因为电路元器件参数受温度影响而发生波动从而导致Q点的不稳定,在多级放大器中由于采用直接耦合方式,会使Q点的波动逐级传递和放大。

十六、 反馈的基本概念和分类。

几乎在所有实用的放大电路中都要引入负反馈。反馈是指把输出电压或输出电流的一部

分或全部通过反馈网络,用一定的方式回送到放大电路的输入回路,以影响输入电量的过程。反馈网络与基本放大电路一起组成一个闭合环路。通常假设反馈环内的信号是单向传输的,即信号从输入到输出的正向传输只经过基本放大电路,反馈网络的正向传输作用被忽略;而信号从输出到输入的反向传输只经过反馈网络,基本放大电路的反向传输作用被忽略。

分类: 直流反馈和交流反馈 正反馈和负反馈 串联反馈和并联反馈 电压反馈和电流反

馈。

十七、 负反馈放大电路的四种组态。

电压串联负反馈 电压并联负反馈 电流串联负反馈 电流并联负反馈

十八、 负反馈对放大电路性能的影响。

模电amp数电知识总结

引入负反馈后,虽然使放大电路的闭环增益(=)减小,但是放大电路的许多性

能指标得到了改善,如提高了放大电路增益的稳定性,减小了非线性失真,抑制了干扰和噪声,串联负反馈使输入电阻提高,并联负反馈使输入电阻降低,电压负反馈降低了输出电阻,电流负反馈使输出电阻增加。

十九、 深度负反馈条件下的近似计算。

在深度负反馈条件下,利用“虚短、虚断”概念可求四种反馈放大电路的闭环增益或闭

环电压增益。

二十、 负反馈放大电路的稳定性。

引入负反馈可以改善放大电路的许多性能,而且反馈越深,性能改善越显著。但由于电

模电amp数电知识总结

路中存在电容等电抗性元件,它们的阻抗随信号频率而变化,因而使

都随频率而变化,当幅值条件

模电amp数电知识总结

||≥1及相位条件+的大小和相位=(2n+1)×180°同时满足时,电路就会从原来的负反馈变成正反馈而产生自激振荡。通常采用频率补偿法来消除自激振荡。

关于反馈往年面试试题)(48-55):

48、什么是反馈?什么是直流反馈和交流反馈?什么是正反馈和负反馈?

答:输出信号通过一定的途径又送回到输入端被放大器重新处理的现象叫反馈。如果信号是直流则称为直流反馈;是交流则称为交流反馈,经过再次处理之后使放大器的最后输出比引入反馈之前更大则称为正反馈,反之,如果放大器的最后输出比引入反馈之前更小,则称为负反馈。

49、为什么要引入反馈?

答:总的说来是为了改善放大器的性能,引入正反馈是为了增强放大器对微弱信号的灵敏度或增加增益;而引入负反馈则是为了提高放大器的增益稳定性及工作点的稳定性、减小失真、改善输入输出电阻、拓宽通频带等等。

50、交流负反馈有哪四种组态?

答:分别是电流串联、电流并联、电压串联、电压并联四种组态。

51、放大电路中引入电流串联负反馈后,将对性能产生什么样的影响?

答:对电压增益有削弱作用、提高其增益稳定性、降低失真、提高输入电阻、提高输出电阻等。

52、放大电路中引入电压串联负反馈后,将对性能产生什么样的影响?

答:对电压增益有削弱作用、能提高其增益稳定性、降低失真、降低输入电阻、降低输出电阻等。

54、放大电路中引入电流并联负反馈后,将对性能产生什么样的影响?

答:对电压增益有削弱作用、能提高其增益稳定性、降低失真、降低输入电阻、提高低输出电阻等。

55、放大电路中引入电压并联负反馈后,将对性能产生什么样的影响?

答:对电压增益有削弱作用、能提高其增益稳定性、降低失真、降低输入电阻、降低低输出电阻等。

二十一、 功率放大电路。

功率放大电路是在大信号下工作,通常采用图解法进行分析。研究的重点是如何在允许

失真的情况下,尽可能提高输出功率和效率。

各种功率放大电路:

模电amp数电知识总结

二十二、 滤波电路的基本概念和分类

滤波电路是一种能使有用频率信号通过而同时抑制无用频率信号的电子装置。可以分为

低通、高通、带通和带阻四种类型。

二十三、 正弦波振荡电路。

① 振幅平衡条件||=AF=1及相位平衡条件+=2nπ是正弦波振荡电路产生持续

振荡的两个条件。

② 按结构来分 ,正弦波振荡电路主要有RC型和LC型两大类,他们的基本组成包括

模电amp数电知识总结

可进行正常工作的放大电路,能满足相位平衡条件的反馈网络,其中

模电amp数电知识总结

或兼有选频特性。一般从相位和幅度平衡条件来计算振荡频率和放大电路所需的增益。而石英晶体振荡器是LC振荡电路的一种特殊形式。由于晶体的电路模型中等效谐振回路的Q值很高,因而振荡频率有很高的稳定性。

二十四、 非正弦信号产生电路。

方波产生电路 锯齿波产生电路 三角波产生电路。通常由比较器、反馈网络和积分电路等组成。

电压比较器不仅是波形产生电路中的常用的基本单元,也广泛用于测控系统和电子仪器中。估算门限电压应抓住电压使输出电压发生跳变的临界条件:比较器的两输入端电压近似相等,即≈。

二十五、 流稳压电源。

在电子电路中,通常都需要电压稳定的直流电源供电。小功率稳压电源由电源变压器、

整流、滤波和稳压电路等四部分组成。电源变压器是将交流电网220V的电压变为所需要的电压值,然后通过整流电路将交流电压变成脉动的直流电压。由于此脉动的直流电压还含有较大的纹波,必须通过滤波电路加以滤除,从而得到平滑的的直流电压。但这样的电压还随电网电压波动(一般有±10%左右的波动)、负载和温度的变化而变化。因而在整流、滤波电路之后,还需接稳压电路。稳压电路的作用是当电网电压波动、负载和温度变化时,维持输出直流电压稳定。

数电

一、 数字逻辑概论。

① 由于模拟信息具有连续性,实用上难于存储、分析和传输;应用二值数字逻辑构成

的数字电路或数字系统较易克服这些困难。其实质是利用数字1和0来表示信息。

② 用0和1组成的二进制数可以表示数量的大小,也可以表示对立的两种逻辑状态。

数字系统中常用二进制数来表示数值。所谓二进制是以2为基数的计数体制。 ③ 十六进制是二进制的简写,它是以16为基数的计数体制,常用于数字电子技术、

微处理器、计算机和数据通信中。任意一种格式的数可以在十六进制、二进制和十进制之间相互转换。

④ 与十进制数类似,二进制数也有加、减、乘、除四种运算,加法是各种运算的基础。

二进制数可以用原码、反码或补码表示。在数字系统或计算机中常用二进制补码表示有符号的数,并进行相关运算。

⑤ 特殊二进制码常用来表示十进制数。例如8421码、2421码、5421码、余3码、余

3循环码、格雷码等。也有用7位二进制数来表示符号-数字混合码,如ASCII码。 ⑥ 与、或、非是逻辑运算中的三种基本运算,其他的逻辑运算可以由这三种基本运算

构成。数字逻辑是计算机的基础。逻辑函数的表示方法有真值表、逻辑函数表达式、逻辑图、波形图和卡诺图等。

二、 逻辑函数的卡诺图化简法。

、. . .、的最小项是n个因子的乘积,每个变量都以它的原变最小项:n个变量、

量或非变量的形式在乘积项中出现,且仅出现一次。

卡诺图:一个逻辑函数的卡诺图就是将此函数的最小项表达式中的各最小项相应地填入一个特定的方格图内,此方格图称为卡诺图。

用卡诺图化简逻辑函数:将逻辑函数写成最小项表达式;按最小项表达式填卡诺图,凡式中包含了的最小项,其对应方格填1,其余方格填0;合并最小项,即将相邻的1方格圈成一组(包围圈),每一组含

所有包围圈对应的乘积项相加。

三、 逻辑门电路的主要技术参数。 个方格,对应每个包围圈写成一个新的乘积项;将

输入和输出高、低电平的最大值或最小值,噪声容限,传输延迟时间,功耗,延迟-功耗积,扇入数和扇出数等。

四、 CMOS门和TTL门电路比较。

CMOS逻辑门电路是目前应用最广泛的逻辑门电路。其优点是集成度高,功耗低,扇出数大(指带同类门负载),噪声容限亦大,开关速度较高。

TTL电路速度快,传输延迟时间短,但是功耗大。

五、 逻辑门电路应用中的抗干扰问题。

① 多余输入端的处理措施

一般不让多余的输入端悬空,以防止干扰信号引入。处理方法一是将它与其他输入端并接在一起,二是根据逻辑要求,与门或者与非门的多余输入端通过1-3kΩ电阻接正电源,对CMOS电路可直接接正电源。或门或或非门的多余输入端直接接地。 ② 去耦合滤波电容

用10-100μF的大电容器接在直流电源和地之间,滤除干扰信号。除此以外,对于每一集成芯片的电源与地之间接一个0.1μF的电容器以滤除开关噪声。

③ 接地和安装工艺

将电源地和信号地分开。

六、 组合逻辑电路定义、分析和设计。

① 对于一个逻辑电路,其输出状态在任何时刻只取决于同一时刻的输入状态,而与电

路原来的状态无关,这种电路被定义为组合逻辑电路。

② 分析组合逻辑电路的步骤大致如下:

根据逻辑电路,从输入到输出,写出各级逻辑函数表达式,直到写出最后输出端与输入信号的逻辑函数表达式;

将各逻辑函数表达式化简和变换,以得到最简单的表达式;

根据化简后的逻辑表达式列出真值表;

根据真值表和简化后的逻辑表达式对逻辑电路进行分析,最后确定其功能。 ③ 组合逻辑电路的设计步骤大致如下:

明确实际问题的逻辑功能,并确定输入输出变量及表示符号;

根据对电路逻辑功能的要求,列出真值表;

由真值表写出逻辑表达式;

简化和变换逻辑表达式,从而画出逻辑图。

七、 组合逻辑电路中竞争冒险的消除方法。

① 发现并消去互补相乘项。

例如,函数式F=(A+B)(+C),在B=C=0时,F=A,可能出现竞争冒险,若将式子变换为F=A+AC+B+BC=AC++BC,便可以消去竞争冒险。

② 增加乘积项以避免互补项相加。

③ 输出端并联电容器。

八、 典型的中规模组合逻辑器件。

包括编码器、译码器、数据选择器、数值比较器、加法器和算术逻辑运算单元等。这些组合逻辑器件除了具有其基本功能外,通常还具有输入使能、输出使能、输入扩展、输出扩展功能,使其功能更加灵活,便于构成较复杂的逻辑系统。

九、 锁存器和触发器。

① 锁存器和触发器都是具有存储功能的逻辑电路,是构成时序电路的基本逻辑单元。

每个锁存器或触发器都能存储1位二值信息,所以又称为存储单元或记忆单元。 ② 锁存器是对脉冲电平敏感的电路,它们在一定电平作用下改变状态。基本SR锁存

器由输入信号电平直接控制其状态,传输门控或逻辑门控锁存器在使能电平作用下由输入信号决定其状态。在使能信号作用期间,门控锁存器输出跟随输入信号变化而变化。

③ 触发器是对时钟脉冲边沿敏感的电路,根据不同的电路结构,它们在时钟脉冲的上

升沿或下降沿作用下改变状态。目前流行的触发器电路主要有主从、维持阻塞和利用传输延迟等几种结构,它们的工作原理各不相同。

④ 触发器按逻辑功能分类有D触发器、JK触发器、T(T′)触发器和SR触发器。它

们的功能可用特性表、特性方程和状态图来描述。触发器的电路结构和逻辑功能没有必然联系。例如JK触发器既有主从结构的,也有维持阻塞或利用传输延迟结构的。每一种逻辑功能的触发器都可以通过增加门电路和适当的外部连线转换为其他功能的触发器。

十、 时序逻辑电路概念、分析和设计。

①时序逻辑电路一般由组合电路和存储电路两部分构成。它们在任一时刻的输出不仅是当前输入信号的函数,而且还与电路原来的状态有关。时序电路可分为同步和异步两大类。逻辑方程组、状态表、状态图和时序图从不同方面表达了时序电路的逻辑功能,是分析和设计时序电路的主要依据和手段。

②时序电路的分析,首先按照给定电路列出各逻辑方程组、进而列出状态表、画出状态图和时序图,最后分析得到电路的逻辑功能。

③同步时序逻辑电路的设计,首先根据逻辑功能的需求,导出原始状态图或原始状态表,有必要时需进行状态化简,继而对状态进行编码,然后根据状态表导出激励方程组和输出方程组,最后画出逻辑图完成设计任务。

十一、 典型的时序集成电路。

包括计数器和寄存器,应用这些集成电路器件,能设计出各种不同功能的电子系统。

十二、 脉冲波形的变换和产生。

在数字电路中,常常需要各种脉冲波形,例如时序电路中的时钟脉冲、控制过程中的定 时信号等。这些脉冲信号的获取,通常有两种方法:一种是将已有的非脉冲波 形 通过 波形变换电路获得;另一种则是采用脉冲信号产生电路直接得到。

集成单稳态触发器分为非重复触发和可重复触发两大类,在暂稳态期间,出现的触发信号对非重复触发单稳电路没有影响,而对可重复触发单稳电路可起到连续触发的 作用。单稳态触发器可以作为定时、延时和噪声消除电路。

施密特触发器实质上是具有滞后特性的逻辑门,它有两个阈值电压。电路状态与输入电压有关,不具备记忆功能。施密特触发器可用在波形变换、整形和抗干扰、幅度鉴别中。

多谐振荡器是一种在接通电源后,就能产生一定频率和一定幅度矩形波的自激振荡器,常作为脉冲信号源。在频率稳定性要求较高的场合通常采用石英晶体振荡器。

定时器是一种广泛应用的集成器件,多用于脉冲产生、整形及定时等。除555定时 器外,目前还有556(双定时器)、558(四定时器)等。

关于数电的一些面试试题(1-5):

1、同步电路和异步电路的区别是什么?(仕兰微电子)

答:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

2、什么是同步逻辑和异步逻辑?(汉王笔试)

答: 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

答:将两个门电路的输出端并联以实现与逻辑的功能成为线与。在硬件上,要用OC门来实现,同时在输出端口加一个上拉电阻。由于不用OC门可能使灌电流过大,而烧坏逻辑门。

4、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微 电子)

答:在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

5、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

答:常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

相关推荐