汽车尾灯控制电路实验报告

※※※※※※※※※

※2009级模拟电子技术 ※

※※课程设计 ※※※※※ ※ ※ ※※

数字电子技术课程设计报告书

课题名称

姓 名 汽车尾灯模拟控制电路设计 陈景玉

学 号 20096434

院、系、部

专 业

指导教师

电气系 电子信息工程 高迎霞、孙秀婷

20xx年 7 月3日

汽车尾灯模拟控制电路设计

方0909-2 陈景玉

摘要

随着现代社会的发展,汽车越来越多第被他人们使用,而由此也造成了一系列的麻烦,比如,由于汽车的突然转向所引发的的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,可减少车祸发生。本文是关于取车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并涉及电路。整个电路有三进制计数器、译码器与显示驱动电路、尾灯状态显示、开关控制电路4部分组成。分析使能控制信号与公模控制变量、时钟脉冲的关系,运用J——K触发器、3——8译码器实现了根据汽车运行状态指示显示4种不同的模式。本文详细讲解了电路的设计思路及其实现过程。

一、设计目的

1、掌握万用表的用法

2、各种基本电子元件的识别与参数判断

3、了解晶体管在电子电路中的用途与用法

4、熟悉手工焊锡的常用工具的使用及其维护与修理

二、设计要求

利用开关来控制汽车的运行和转向。

汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。

三、设计方案及原理框图

(1)列出尾灯与汽车运行状态表,如表1-1所示

汽车尾灯控制电路实验报告

(2)设计总体框图

由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码

器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各个指示灯与各给定条件(S1,S0,CP,Q1,Q0)的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。

图1-1 汽车尾灯控制电路总框图

四、单元电路设计及主要元器件参数计算

1)三进制计数器电路。

表1-2 控制电路的逻辑功能表

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

由双J-K触发器74LS76构成,可根据表1-2进行设计。

汽车尾灯控制电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由3-8译码器74LS138和6个与非门构成。74LS138的三个输入端A2,A1,A0分别接S1,Q1,Q0,而Q1Q0是三进制计数器的输出端。当S1=0时,使能信号A=G=1,计数器的状态位00,01,10时,74LS138对应的输出端Y0’,Y1’,Y2’依次为0有效(Y3’,Y4’,Y5’信号为1无效),即反相器GATE1-GATE3的输出端也依次为0,故指示灯D1-D2-D3按顺序点亮示意汽车右转弯。若上述条

件不变,而S1=1,则74LS138对应的输出端Y4’,Y5’,Y6’依次为0有效,即反相器GATE4-GATE6的输出端依次为0,故指示灯D4-D5-D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,GATE6-GATE1的输出端也全为1,指示灯全灭;当G=0.A=CP时,指示灯随CP的频率闪烁。

2)开关控制电路。设74LS138和显示驱动电路的使能端信号分别为G和A,根据总体逻辑功能表分析及组合得G,A与给定条件(S1,S0,CP)的真值表如表1-3所示,由此表进过整理得逻辑表达式为

G=S1’S0+S1S0’ A=(S1S0)’+S1S0CP=(S1S0*(S1S0CP)’)’

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

(其电路图为:

3)5v稳压直流电源 直流稳压电源基本框图

Ui

电源 变压器

整流 电路3、

滤波 电路 稳压 电路

o

直流稳压电源的原理框图和波形变换

1>要使W7805正常工作,必须保证输入与输出之间维持大于2V的压降,因此

W7805输入端直流电压必须保证在7V以上。W7805输入端的电流是许对变压器副边输出电压U2(t)整流、滤波后得到的。假设整流电路内阻为0,负

载电流为0,W7805输入端有最大电压U=1.414Uef,Uef是U2(t)的有效值。

由于滤波电容不可能无限大,所以U<1.414 Uef,根据经验可知U=1.2 Uef,得Uef=5.8V,考虑到整流桥经过两个二极管约有1.4V的压降,得变压器可

取8V。

2>变压器选择:变压器选择8V变压,考虑到电流不需要太大,最大电流为2A,

实际选择变压器输出功率为16W,可以很好地满足要求。

3>整流桥:考虑到电路中会出现冲击电流,整流桥的额定电流时工作电流的2~3

倍。选取RS301(100V,3A)即可,实际购买过程中选择了2W10也符合设计要求。

4>滤波电路:考虑到对纹波电压要求比较高,所以选择了2200uF、耐压值为10V

的电解电容。

5>去耦电容:去耦电容的选择是由W7805芯片要求的,查手册可)知分别为0.1uF

和0.33uF,用来滤除高频分量,防止产生自激。

6>为了防止负载产生冲击电流,故在输出端加入220uF、耐压值为10V的电解电

容。

8>为防止电源输出端短路,需安装保险管;为防止W7805因过热而烧坏,需加装

散热片。

至此,所有元件的参数都已确定。其电路图为:

汽车尾灯控制电路实验报告

五、电路图

汽车尾灯控制电路实验报告

图1-2 汽车尾灯控制电路图

六、调试及故障分析

1、开关部分电路:

由于开关部分电路要使用信号发生器作为与非门的输入,使其输出值为50Hz,方波,高电平和低电平各50%时间,有效电平为10V,信号发生器参数截图如下:

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

图10 信号发生器参数 图11 开关测试电路图

开关控制参数各值下的示波器波形为:

汽车尾灯控制电路实验报告

图12 当S1=0、S2=0;S1=1、S2=0;S1=0、S2=1时与非门的波形图

汽车尾灯控制电路实验报告

图13 当S1=1、S2=1时与非门的波形图

汽车尾灯控制电路实验报告

2测量稳压电源输出的稳压值及稳压范围

首先使调压器的输出为0V,通过示波器或万用表观测稳压电路的输出,然后调节调压器的输出,使输入到变压器的交流电压逐渐增加,当稳压电路输出的直流电压值不再随着调压器输出电压的增加而改变时,此时电路输出的直流电压值即为稳压电源的稳压值。

使稳压器输出在稳压值上的输入电压范围为稳压电路的稳压范围。

七、设计总结:

这次课程设计可以说是我费尽心思的课程设计,从到图书馆查找资料到对电路的设计对电路的调试再到最后电路的成型,都对我所学的知识进行了全面的检验。无论是电路的设计还是制图过程都让我学到了很多新东西,同时也弥补了之前所学知识的不足之处,收获了颇多。

在电路设计中,设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此设计前就必须做好充分的准备,像查找详细的资料等等,这为我们设计的成功打下坚实的基础。

设计过程中会遇到很多的问题,这时候我们不能半途而废,应该迎难而上,加深对知识的理解,一点一点的分析。因此要熟练地掌握课本上的知识才能对设计中出现的问题进行分析解决。

留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚持的毅力。在整个电路的设计过程中,花费时间最多的是在各个单元电路的细节设计上。在多种方案的选择中,我们需要仔细比较分析其原理以及可行的原因,并且使整个电路可以稳定工作。

总而言之,这次课程设计让我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,培养了我的设计思维,增加了实际操作能力,也让深深地体会到了自己学习知识的不足之处,对于知识的理解程度不够深,自己以为明白的实际上不明白。在以后的学习过程中,我会重视这些问题,逐渐改变自己的学习习惯,不断的进步!

八、参考文献:

1.华中科技大学出版社 欧阳星明 主编。《数字逻辑(第二版)》20xx年3月

2.电子工业出版社 周凯 主编。《EWB虚拟电子实验室》、《 电子电路设计与应用》 20xx年6月

3. 清华大学出版社冯荣达 余孟尝 等编著。 《数字电子技术基础简明教程(第三版)》 20xx年7月

4.清华大学出版社 杨素行 主编。《模拟电子技术基础简明教程(第三版)》 20xx年5月

 

第二篇:实验十 汽车尾灯的控制电路

实验十汽车尾灯的控制电路

1. 实验目的

通过用VHDL语言设计汽车尾灯控制电路,掌握用VHDL语言设计实际电路,解决用户的各种需求。

2. 实验原理

采用模块化设计,各模块单独进行编辑、编译、仿真。编译、仿真正确后将各个模块进行封装,然后,新建原理图文件,将各模块的封装图调出来并进行连接(用细线连接信号,用粗线连接位矢量信号)。最后进行编译、仿真及管脚的分配(用户自定义方式或自动分配方式)。

3. 实验内容

用6个发光二极管模拟6个汽车尾灯(汽车尾部左、右3个),用2个开关作为转弯控制信号(1个开关控制右转弯,另1个开关控制左转弯)。

4. 源程序清单

libraryieee;

use ieee.std_logic_1164.all;

entitykong is

port(left,right:instd_logic;

lft,rit,lr:outstd_logic);

endkong;

architecturewei of kong is

begin

process (left,right)

variable a:std_logic_vector(1 downto 0);

begin

a:=left&right;

case a is

when"00" =>

lft<='0';

rit<='0';

lr<='0';

when"01" =>

lft<='0';

rit<='1';

lr<='0';

when"10" =>

lft<='1';

rit<='0';

lr<='0';

when others =>

lft<='1';

rit<='1';

lr<='1';

end case;

end process;

endwei;

控制模块的波形仿真图如下图

封装图

Lfta模块

模块lfta的功能是当左转时控制左边的3个灯,当左右转信号都有效时,输出全为‘1’。 libraryieee;

use ieee.std_logic_1164.all;

entitylfta is

port (en,clk,lr:in std_logic;

l2,l1,l0:outstd_logic);

endlfta;

architecturewei of lfta is

begin

实验十汽车尾灯的控制电路

process(clk,en,lr)

实验十汽车尾灯的控制电路

variabletmp: std_logic_vector(2 downto 0); begin

iflr='1'then

tmp:="111";

elsif en='0'then

tmp:="000";

elsifclk'event and clk='1'then

iftmp="000" then

tmp:="001";

else

tmp:=tmp(1 downto 0)& '0';

end if;

end if;

l2<=tmp(2);

l1<=tmp(1);

l0<=tmp(0);

end process;

endwei;

模块lfta的波形仿真图如下图

模块lfta封装

实验十汽车尾灯的控制电路

实验十汽车尾灯的控制电路

Rita模块

模块rita的功能是控制右边的3个灯,与模块lfta类似。 libraryieee;

use ieee.std_logic_1164.all;

entityrita is

port(en,clk,lr:instd_logic;

r2,r1,r0:outstd_logic);

endrita;

architecturewei of rita is

begin

process (clk,en,lr)

variabletmp:std_logic_vector(2 downto 0);

begin

iflr='1'then

tmp:="111";

elsif en='0' then

tmp:="000";

elsifclk'event and clk='1'then

iftmp="000"then

tmp:="100";

else

tmp:='0'&tmp (2 downto 1);

end if ;

end if;

r2<=tmp(2);

r1<=tmp(1);

r0<=tmp(0);

end process;

endwei;

仿真图

封装图

把各个模块调出并连接输入输出口得整个电路图

实验十汽车尾灯的控制电路

实验十汽车尾灯的控制电路

实验感想和总结

本次的实验让我了解了vhdl的模块化设计电路图,这个需要用VHDL编写好各个模块的部件,并且封装好,然后调出这些模块来实现更加复杂的功能。

实验十汽车尾灯的控制电路

相关推荐