数字秒表课程设计报告

 


目录

数字秒表设计实验任务书.................................................................................................................................. 2

一、设计实验目的:......................................................................................................................................... 2

二、设计实验说明及要求:............................................................................................................................ 2

三、数字秒表组成及功能:............................................................................................................................ 2

四、系统硬件要求:......................................................................................................................................... 2

五、设计内容及步骤:.................................................................................................................................... 3

六、硬件实现...................................................................................................................................................... 3

实验报告.................................................................................................................................................................. 3

一、数字秒表顶层设计.................................................................................................................................... 3

二、数字秒表内部设计.................................................................................................................................... 4

1、分频器....................................................................................................................................................... 4

2、十进制计数器.......................................................................................................................................... 5

3、六进制计数器.......................................................................................................................................... 6

4、二十四进制计数器................................................................................................................................. 8

5、数据选择和数码管选择模块................................................................................................................ 9

6、数码管驱动模块:............................................................................................................................... 10

三、数字秒表仿真波形.................................................................................................................................. 11

四、硬件验证................................................................................................................................................... 12

五、实验总结................................................................................................................................................... 12

 数字秒表设计实验任务书

一、设计实验目的:

    在MAX+plusII软件平台上,熟练运用VHDL语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA实验箱,实现数字秒表的硬件功能。

二、设计实验说明及要求:

1、数字秒表主要由:分频器、扫描显示译码器、一百进制计数器、六十进制计数器(或十进制计数器与6进制计数器)、十二进制计数器(或二十四进制计数器)电路组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,数字秒表需有清零控制端,以及启动控制端、保持保持,以便数字时钟能随意停止及启动。

2、数字秒表显示由时(12或24进制任选)、分(60进制)、秒(60进制)、百分之一秒(一百进制)组成,利用扫描显示译码电路在八个数码管显示。

3、能够完成清零、启动、保持(可以使用键盘或拨码开关置数)功能。

4、时、分、秒、百分之一秒显示准确。

三、数字秒表组成及功能:

1、分频率器:用来产生100HZ计时脉冲;

2、二十四进制计数器:对时进行计数;

3、六进制计数器:分别对秒十位和分十位进行计数;

4、十进制计数器:分别对秒个位和分个位进行计数;

5、扫描显示译码器:完成对7字段数码管显示的控制;

四、系统硬件要求:

1、时钟信号为10MHz;

2、FPGA芯片型号EPM7128LC84—15、EP1K30TC144—3或EP1K100QC208—3(根据实验箱上FPGA芯片具体选择);

3、8个7段扫描共阴级数码显示管;

4、按键开关(清零、启动、保持);

五、设计内容及步骤:

1、根据电路持点,用层次设计概念。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,同时加深层次化设计概念;

2、软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合;

3、适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,有更深一步了解。熟悉了CPLD/FPGA设计的调试过程中手段的多样化;

4、按适配划分后的管脚定位,同相关功能块硬件电路接口连线;

5、所有模块尽量采用VHDL语言设计。

六、硬件实现

将时序仿真正确的文件下载到实验箱中的EPM7128LC84—15、EP1K30TC144—3或EP1K100QC208—3中,通过合适的管脚分配,将相应的管脚连接起来,验证设计是否完成设计要求;

实验报告

一、数字秒表顶层设计

外部输入:启动/停止信号(start);

10MHZ的时钟信号(clk);

          清零信号(clr);

外部输出:位选控制信号(sel0、sel1、sel2);

          7段数码管显示信号(led0、led1、led2、led3、led4、led5、led6、led7);

数字秒表顶层原理图

二、数字秒表内部设计

1、分频器

功能:将10MHz的时钟信号转换成100Hz的计时脉冲,使秒表正常工作。

   图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

entity div is

      port(clr,clk: in bit;q: buffer bit);

end div;

architecture a of div is

   signal counter:integer range 0 to 49999;

begin

    process(clr,clk)

      begin

       if (clk='1' and clk'event) then     

         if clr='1' then

            counter<=0;

         elsif counter=49999 then

            counter<=0;

            q<= not q;

         else

            counter<=counter+1;

         end if;

       end if;

     end process;

end a;

仿真波形:

2、十进制计数器

功能:通过在计时脉冲的作用下进行逢十进一,从而完成对秒个位和分个位进行计数的功能。

    图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count10 is

    port(clr,start,clk: in bit;

         cout: out bit;

         daout: out std_logic_vector(3 downto 0));

end count10;

architecture a of count10 is

signal temp:std_logic_vector(3 downto 0);

begin

   daout<=temp; 

   process(clk,clr)

     begin

        if clr='1' then

               temp<="0000";

               cout<='0';

        elsif (clk'event and clk='1') then

               if start='1' then

                 if temp>="1001" then

                    temp<="0000";

                    cout<='1';

                 else

                    temp<=temp+1; 

                    cout<='0';

                 end if;

               end if;

        end if;

     end process;

end a;

仿真波形:

3、六进制计数器

   功能:通过在计时脉冲的作用下进行逢六进一,完成秒表秒的十位部分和分的十位部分的计数功能。

      图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count6 is

    port(clr,start,clk: in bit;

         cout: out std_logic;

         daout: out std_logic_vector(3 downto 0));

end count6;

architecture a of count6 is

   signal temp:std_logic_vector(3 downto 0);

      begin

       daout<=temp;

        process(clk,clr)

            begin

              if clr='1' then

                  temp<="0000";

                  cout<='0';

              elsif (clk'event and clk='1') then

                  if start='1' then

                      if temp>="0101" then

                         temp<="0000";

                         cout<='1';

                      else

                         temp<=temp+1; 

                         cout<='0';

                      end if;

                  end if;

             end if;

       end process;

end a;

仿真波形:

4、二十四进制计数器

   功能:通过在计时脉冲的作用下进行逢二十四进一,从而对时进行计数。

      图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count24 is

port(clr,start,clk:in std_logic;

     hour0,hour1:out std_logic_vector(3 downto 0));

end count24;

architecture a of count24 is

begin process(clr,clk)

   variable cnt1,cnt0:std_logic_vector(3 downto 0);

   begin

     if clr='1' then  cnt0:="0000"; cnt1:="0000";

     elsif clk'event and clk='1' then

         if start='1' then

             if cnt1="0010" and cnt0="0011"

             then cnt1:="0000";

                  cnt0:="0000";

             elsif cnt0<"1001" then cnt0:=cnt0+1;

             else cnt0:="0000";

                  cnt1:=cnt1+1;

             end if;

         end if;

   end if;

 hour0<=cnt0;

 hour1<=cnt1;

 end process;

end a;

仿真波形:

5、数据选择和数码管选择模块

  功能:通过每个计数器输入的dain信号对数码管进行选择。

      图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity seltime is

   port(clk: in bit;

        dain0,dain1,dain2,dain3,dain4,dain5,dain6,dain7: in std_logic_vector(3 downto 0);

        sel: out std_logic_vector(2 downto 0);

        daout: out std_logic_vector(3 downto 0));

end seltime;

architecture a of seltime is

   signal temp:integer range 0 to 7;

begin

    process(clk)

       begin

          if (clk='1'and clk'event) then 

              if temp=7 then temp<=0;

              else temp<=temp + 1;

              end if;         

                case temp is

                    when 0=>sel<="000";daout<=dain0;

                    when 1=>sel<="001";daout<=dain1;

                    when 2=>sel<="010";daout<=dain2;

                    when 3=>sel<="011";daout<=dain3;

                    when 4=>sel<="100";daout<=dain4;

                    when 5=>sel<="101";daout<=dain5;

                    when 6=>sel<="110";daout<=dain6;

                    when 7=>sel<="111";daout<=dain7;

               end case;

         end if;

   end process;

end a;

仿真波形:

6、数码管驱动模块:

 功能:通过对输入的信号进行编码,完成对7段数码管的驱动,使数码管显示出对应的数字。

     图标:

VHDL语言:

library ieee;

use ieee.std_logic_1164.all;

entity deled is

     port(num:in std_logic_vector(3 downto 0);

         led:out std_logic_vector(6 downto 0));

end deled ;

architecture a of deled is

begin

    process(num)

      begin

          case num is

             when"0000"=>led<="0111111";-----------3FH

             when"0001"=>led<="0000110";-----------06H

             when"0010"=>led<="1011011";-----------5BH

             when"0011"=>led<="1001111";-----------4FH

             when"0100"=>led<="1100110";-----------66H

             when"0101"=>led<="1101101";-----------6DH

             when"0110"=>led<="1111101";-----------7DH

             when"0111"=>led<="0100111";-----------27H

             when"1000"=>led<="1111111";-----------7FH

             when"1001"=>led<="1101111";-----------6FH

             when others=>led<="0000000";-----------00H

          end case;

    end process;

end a;

  仿真波形:

三、数字秒表仿真波形

四、硬件验证

1、选择合适的芯片类型,进行自动分配管脚,在实验箱上将相应的管脚连接起来;

2、将做好的数字秒表下载到实验箱中;

3、验证功能,当输入端clr拨至低电平,start拨至高电平时,秒表开始计时,能清楚的显示时、分、,秒、0.01秒;当把start拨至低电平时计时,秒表暂停,当把start再次拨回高电平时,秒表继续计时;当把clr拨至高电平时,8个数码管同时变为0,秒表停止工作。

五、实验总结

通过本次课程设计,使我对MAX+plusII软件的使用、实验箱的使用、VHDL语言以及一个课题从开始的分析到最后的综合编译和仿真也有了更深刻的认识。

一拿到课程设计题目时确实有些迷茫,我就到图书馆查阅了相关的书籍、上网查了查资料、以及与同学讨论了整体构思和VHDL语言的设计。虽然大体思路有了,但是在编程的过程中还是遇到了不少问题。

分频器的设计就是一个难题,100HZ的周期是10MHZ的100000倍,那counter的取值不是该是100000,那就是从0to99999,但是硬件验证时秒表明显走得偏慢。那counter要取什么值呢?是取0to49999?通过分析才明白,如果语句中没有用到q<= not q;语句,在0.01秒内,q很长一段时间都保持低电平,只有在最后0.2us进行高低电平的跳变;但如果用了q<= not q;语句,在0.005s时,q就从电平跳变到高电平,然后一直保持到0.01s才跳变到低电平,也就是将0.01s作为一个高低电平的周期。

数码管驱动模块的输出端口也遇到了问题,如果接线按EDA实验书上的接法,输出端口有7个,验证的时候总是有错,最后只好将输出端口改成一个led[6..0],在功能上同样也满足驱动数码管的功能。

虽然在实验中遇到了许多这样那样的大小问题,但是我还是积极的寻找解决问题的办法,认真分析问题,研究问题,解决问题,最后终于设计出了数字秒表,并能实现各个功能端的作用。

课程设计不仅教会了我课本上的知识,更使我自身的学习能力和遇到问题时分析思考问题的能力有了明显提高,自身的意志和综合素质也得到了明显的加强。

相关推荐