篇一 :《计算机组成原理》运算器实验报告

《计算机组成原理》

实 验 报 告

学 院:专 业: 班级学号: AP0804114 学生姓名: 实验日期:

指导老师: 成绩评定:

五邑大学信息学院计算机组成原理实验室

实 验 一

一、 实验名称:运算器实验 二、 实验目的:

1、 掌握运算器的组成及工作原理;

2、 熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、 掌握ALU 算术与逻辑运算的控制方法 4、 了解多片ALU的组合扩展功能和进位链的实现

三、 实验内容:

1、 两16位操作数的算术运算及进位影响 2、 两16位操作数的逻辑运算及进位影响 3、 不同控制组合下的算术与逻辑运算的输出结果

四、 实验设备:

EL-JY-II型计算机原理实验系统,排线若干

五、 实验步骤:

1、 在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、 系统上电,拨动清零开关,系统清零;

3、 从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1; 4、 从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2; 5、 关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正; 6、 设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、 重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成;

…… …… 余下全文

篇二 :实验一运算器组成实验

计算机组成原理实验报告

 实验一 运算器组成实验

学院:计算机学院

班级:2010211306

学号:10211309号

姓名:   朱    璇

一 实验目的

    1.熟悉双端口通用寄存器堆的读写操作

    2.熟悉简单运算器的数据传送通路

    3.验证运算器74LS181的算术逻辑功能

    4.按给定数据,完成指定的算术,逻辑运算

二 实验电路

三 实验任务

   1.按图要求,将运算器模块与实验台操作板上的线路进行连接。

   2.用开关SW7-SW0向通用寄存器堆RF内的R0-R3寄存器置数。然后读出R0-R3的内容,在数据总线DBUS上显示出来

   3.验证ALU的正逻辑算术,逻辑运算功能.

四实验步骤

(一)将数字写入读出通用寄存器堆

1将所有开关按照如下所示的方式连接好


2将数字将34H,21H,52H,65H分别写入R0,R1,R2,R3(其中以R0为例子,其余只要改变WR0和WR1的值就可以写入操作)

…… …… 余下全文

篇三 :计算机组成原理实验-运算器组成实验报告

计算机组成原理课程实验报告

 

9.3  运算器组成实验

      姓    名:    曾国江             

      学    号:                      

      系    别:  计算机工程学院     

      班    级:    网络工程1      

…… …… 余下全文

篇四 :组成原理实验报告1 基本运算器

《计算机组织与

体系结构》

实验报告1

姓名:

学号:

班级:

课题:基本运算器

20##-12-19

1实验目的

(1) 了解运算器的组成结构

(2) 掌握运算器的工作原理

2实验设备

PC 机一台,TD-CMA 实验系统一套。

3实验步骤

(1)     按图 1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验相同)。

1-1-5  实验接线图

(2)将时序与操作台单元的开关 KK2 置为‘单拍’档,开关 KK1、KK3 置为‘运行’档。

(3)打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动 CON 单元的 CLR 按钮,将运算器的 A、B 和 FC、FZ 清零。

(4)用输入开关向暂存器 A 置数。

①拨动 CON 单元的 SD27…SD20 数据开关,形成二进制数 01100101(或其它数值),数据显示亮为‘1’,灭为‘0’。

②置 LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个 T4 上沿,则将二进制数 01100101置入暂存器 A 中,暂存器 A 的值通过 ALU 单元的 A7…A0 八位 LED 灯显示。

…… …… 余下全文

篇五 :计算机组成原理运算器实验报告

计算机组成原理实验一

运算器实验

一、实验目的:

1、掌握简单运算器的数据传输方式。

2、验证运算功能发生器(74LS181)及进位控制的组合功能。

二、实验要求:

完成不带进位及带进位算数运算实验、逻辑运算实验,了解算数逻辑运算单元的运用。

三、实验原理:

https://upload.fanwen118.com/wk-img/img100/3471789_1.jpg

74LS181是4位算术逻辑运算器,用两个 74LS181并联可以实现8位运算,为了实现双操作的运算,ALU的输入端分别由两个锁存器DR1,DR2锁存数据。数据显示灯和数据总线相连接,用来显示数据总线上的内容。由于实验电路中的时序信号均已连接至W/RUIT相应的时序信号引出端,只要微动开关,即可获得实验所需的单脉冲。

四、实验连接:

1.八位运算器控制信号连接:

S3,S2,S1,S0,M,/CN,LDDR1,LDDR2,LDCZY,/SW-B,/ALU-B,Cn+4https://upload.fanwen118.com/wk-img/img100/3471789_2.jpgCn+4I

2.完成连接并检查无误后接通电源。

五、实验仪器状态设定:

在闪动的“P.”状态下按动“增址”命令键,使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。

五、实验项目:

(一)算数运算实验

拨动二进制数据开关向DR1和DR2寄存器置数(灯亮为1,灯灭为0)。

…… …… 余下全文

篇六 :计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告

                          

              一、实验1  Quartus Ⅱ的使用

一.实验目的

掌握Quartus Ⅱ的基本使用方法。

了解74138(3:8)译码器、74244、74273的功能。

利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。

二.实验任务

熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。

新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。

三.74138、74244、74273的原理图与仿真图

…… …… 余下全文

篇七 :华中科技大学 组成原理实验报告 运算器组成实验

课程名称:      计算机组成原理           

专业班级:  信息安全1003     

    号:  U201014669          

    名:  蒋志斌              

同组成员:  张源信              

报告日期:  20125          

…… …… 余下全文

篇八 :基本运算器实验报告

四 川 大 学 计 算 机 学 院、软 件 学 院

实  验  报  告

学号: 1143041061 姓名:  高浩宇  专业: 计算机科学与技术  班级:4 第:11

…… …… 余下全文