篇一 :cpu安装的实验报告

实 验 报 告

…… …… 余下全文

篇二 :cpu设计实验报告

   计算机组成原理

      实验报告

    组长 汪  灿 学号 2013302530054 班号 信安二班

成员龚玉凤学号2013302530021 班号信安一班

               时间 20##年5月

一. 试验目的

1. 融会贯通本实验课程所教授的知识,通过知识的综合应用,加深对CPU系统各模块的工作原理及相互联系的认识;

2. 学习设计和调试CPU的基本步骤和方法,基本熟悉现代EDA(Electronic Design Automation)技术设计电子系统的流程和方法;

3. 培养科学研究的独立工作能力,取得CPU设计与组装调试的实践和经验。

二.  实验任务

1. 熟悉开发工具、开发流程和实验平台;

2. 以实验教程(参考书1)第1章的通用寄存器组为基础,设计一个包含8个寄存器的通用寄存器组;

…… …… 余下全文

篇三 :8位cpu实验报告

精简8位CPU设计报告

07110401 于露

一、实验原理

RISC即精简指令集计算机(Reduced Instruction Set Computer)的缩写。RISC-CPU与一般的CPU相比,通过简化指令系统使计算机的结构更加简单合理,从而提高了运算速度。本文对RISC-CPU的架构进行了分析,并使用VHDL语言设计了8位RISC-CPU IP软核。

SAP-1 CPU部分:程序计数器、MAR、指令寄存器、控制器/序列发生器、累加器、加减法器、B寄存器、输出寄存器。

1.1 SAP-1 CPU及计算机的组成架构简介

1.程序计数器

   它是一个4Bits的计数器,计数范围由0~15。主要功用是记录下每个执行的指令地址,并把这个地址传送至MAR寄存器存放。

2.输入与MAR(Memory Access Register)

   这个方块应分成两个部分,一个是接受由“输入”部分输入到RAM内存的外部程序和数据,另一部分“MAR”是用来在CPU执行上述所加载的程序时,暂存下一个要执行的指令地址。

3.16×8 RAM

…… …… 余下全文

篇四 :单周期CPU实验报告

计算机组成实验报告

实验名称:单周期CPUVerilog实现

实验日期:2011.4.12-2011.4.19

实验人员:

     同组者:

                       

一、    主要实验内容

将已做好的各个模块进行模块合并,实现单周期CPU的各项指令,(注:由于此次设计只是利用verilog硬件编程语言实现具体功能,因此数据寄存器和存储器部件内的内容需由程序设计者自己给出,并不能从计算机中直接读取),下面对各个子模块进行简单的介绍。

二、各个子模块的简单介绍

此程序将数据通路(SingleDataLoad设定为顶层模块,下面的模块包括:算术逻辑运算单元(ALU)、数据存储器(DataStore)、数据寄存器(Registers)、取指令部件(GetCode)、总控制器(Control),通过顶层模块对各个子模块的调用从而实现了整个单周期CPU。

…… …… 余下全文

篇五 :CPU性能测试实验报告

计算机硬件技术基础课程实验报告

实验题目:CPU性能测试

实验人班级:     学号:     姓名:

1、实验目的

了解CPU参数的含义,以及各个参数对CPU性能的影响

2、实验环境

①实验硬件环境(计算机的型号、基本配置)

②实验软件坏境(操作系统、测试软件等)

    CPU-Z,是一款检测CPU使用程度最高的一款软件,它可以提供一些关於处理器的资讯,包含了制造厂及处理器名称,核心构造及封装技术,内部、外部频率,最大超频速度侦测,也可以查出处理器相关可使用的指令集。最新的1.5.5版加入了可侦测处理器的核心电压、L2快取汇流排频宽、Windows NT/2000环境下的双处理器模式侦测,及记忆体时脉(如CAS Latency, RAS to CAS, RAS Precharge)。

    Everestultimate(原名AIDA32)一款强大测试软硬件系统信息的工具。它可以详细的测试PC每一个方面的信息。支持CPU、FPU基准测试,提供CPU Queen、CPU PhotoWorxx、CPU ZLib、CPU AES、FPU Julia、FPU Mande、FPU SinJulia基准测试模块,最新版支持三核心AMD Phenom、六核心Intel Dunnington Xeon处理器;

…… …… 余下全文

篇六 :C++面向对象 实验报告

实验一类与对象

一、             实验目的

1、 掌握类的声明和使用。

2、 掌握类的声明和对象的声明。

3、 复习具有不同访问属性的成员的访问方式。

4、 观察构造函数和析构函数的执行过程。

5、 学习类的组合使用方法。

6、 使用VC++的debug调试功能观察程序流程,跟踪观察类的构造函数、析构函数、成员函数的执行顺序。

二、             实验任务

1、 声明一个CPU类,包含等级(rank)、频率(frequency)、电压(voltage)等属性,有两个公有成员函数run、stop。其中,rank为枚举类型CPU_Rank,声明为enum CPU_Rank{P1=1,P2,P3,P4,P5,P6,P7},frequency为单位是MHz的整型数,voltage为浮点型的电压值。观察构造函数和析构函数的调用顺序。

…… …… 余下全文

篇七 :CPU超线程技术实验报告

.

实验报告

实验项目名称    超线程技术      

所属课程名称   计算机操作系统    

        检索型         

实 验 日 期         20##-5-7     

     11 级信管班       

      20111111118      

       ***       

…… …… 余下全文

篇八 :CPU指令译码器实验报告

数学与计算机学院  计算机组成原理  实验报告

年级 *****  学号  **********  姓名 *****  成绩     

专业网络工程   实验地点  主楼528   指导教师   何欣枫 

实验项目  CPU指令译码器    实验日期  20##-12-5        

一、实验目的

(1)理解指令译码器的作用和重要性。

(2)学习设计指令译码器。

二、实验原理

指令译码器是计算机控制器中最重要的部分。所谓组合逻辑控制器就是指指令译码电路是由组合逻辑实现的。

组合逻辑控制器又称硬连线控制器,是设计计算机的一种方法。这种控制器中的控制信号直接由各种类型的逻辑门和触发器等构成。这样,一旦控制部件构成后,除非重新设计和物理上对它重新连线,否则要想增加新的功能是不可能的。结构上这种缺陷使得硬连线控制器的设计和调试变得非常复杂而且代价很大。所以,硬连线控制器曾一度被微程序控制器所取代。但是随着新一代及其及VLSI技术的发展,这种控制器又得到了广泛重视,如RISC机广泛使用这种控制器。

…… …… 余下全文