EDA实训报告

课程名称    EDA课程设计    

指导教师        曹老师        

         10电子1    

             余振         

          20131115       

8路彩灯控制器

一:实训题目************************

二:实训内容************************

三:实训目的************************

四:实训过程************************

五:实训环境************************

六:实训总结************************

下面就从这几个方面进行论述:

:实训项目8路彩灯控制器的设计。

:实训内容:

1、彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

 2、演示花型3种:

   (1)从左向右顺次序亮,全亮后逆次序渐灭;

   (2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;

(3)8路灯分两半,从左向右顺次渐亮,全亮后则全灭。

:实训目的:

1、熟练掌握模拟电路、数字逻辑电路的设计、分析、仿真及调试的方法。

2、掌握使用EDA(电子设计自动化)工具设计模拟电路、数字电路的方法,了解系统设计的全过程。

3、熟练掌握Multisim 2001软件的基本操作及绘制原理图和进行电路仿真的一般方法

4、通过对系统电路设计与制作,进一步巩固所学的理论知识,提高分析问题和解决问题的能力。

5、通过此次实训,引导学生提高和培养自身创新能力,为后续课程的学习,毕业设计制作以及毕业后的工作打下坚实的基础。·

:实训过程

1.        设计方案:

总体方案设计如上图,其中振荡器产生一个时钟信号,然后控制器由这个时钟信号触发而产生已如“10000000”等的序列信号,信号通过二级管就可以控制灯的亮暗了。序列信号规律的不同便会产生不同的花型。彩灯控制器是以高低电平来控制彩灯的亮与灭。如果以某种节拍按一定规律改变彩灯的输入电平值,控制才等的亮与灭,即可以按预定规则就显示一定的花型。因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。综上所述,彩灯控制器应该由定时电路、控制电路、编码发生器电路以及驱动电路组成。

2.电路方案论证:

74LS194具有双向移位,并行输入/输出,保持数据和请您功能,其中S1,S0为工作方式控制端,SL/SR为左移/右移数据输入端,D0.D1.D2.D3,为并行数据输入端,Q0---Q3依次为由低位到高位的4位输出端,当CR非等于零时,清零,无论其他输入如何,寄存器清零,由4 中工作方式:当CR非等于1时,S1=S2=0,且CP为低电平,保持功能Q0---Q3保持不变,且与CP,SR,SL信号无关。S1=0.S0=1(CP为高电平)有一功能,从SR端串入数据给Q0,然后按Q0-Q1-Q2-Q3依次右移。

S1=1,S0=0(CP为高电平)左移功能,从SL端线串入数据给Q3,然后按Q3-Q2-Q1-Q0依次左移。S1=S0=1(CP为高电平),并行输入功能,一片74LS194,只能寄存4为数据,而这个实验是8 路彩灯,那么就需要用量片或多篇74LS194级联策划了个多位寄存器,由于74LS194功能齐全,在实际中得到广泛应用,该寄存器在工作控制端的作用下,能实现穿行输入并行输出的转换,当S0S1=00.01.10.11时,分别执行保持,右移,左移,并行输入操作,右移时,串行信号从地4位片的sr输入,左移时,串行信号从高4位片的SL输入。

3.电路设计仿真

电路图如下:

先连好时钟信号电路,再连161级联电路,先用清零端制一

同步CP脉冲,还连了194的级联电路直接给底片位和高片位都加右移信号,先测试161的工作状态是否正常,正常后有测试了194的移位寄存功能,都正常,说明芯片和板子都很正常,通过分析找到两种花型通过节拍控制变化的方式,于是按自己的设计连了电路图,测试通过电压,加电测试,结果正确。

Ⅴ,实训环境

硬件:  CPU赛扬420(1.6GHZ) 内存:2G   显示器:14

               硬盘:500G 显卡:Radeon X1550 Series

软件:  Windows 7  Protel 99 SE 猎豹浏览器  Office 2003

Ⅵ:实训总结:

本次课程实习我虽然用了一个星期的时间就全部做完,但整个过程我都认真的完成了,而且从中收获很多,可以总结为以下几点:

(一):对数字电路只是的巩固与提高

     这次课程主要是运用数字电路逻辑设计的一些相关只是,在整个实习过程中,都离不开对熟悉电路课程只是的学习。

(二):学会理论联系实际

课程设计,通过选择题目,根据要求没运用所学知识将其付诸时间来完成,这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系时间的能力,理论知识往往都是在一些理想状态下的假理论,而实际的动手操作这完全不同,需要考虑实际中的很多问题,有些

知识在理论上可能没错但到了实际中则不然,比如在动笔做题时,我们是不用考虑导线的电阻的,但实际中,导线电阻有时是会带来时延造成花型变化错误,所以我们应尽量在连接电路时选择最短路径。

(三)学会如何用芯片,导线等组装各种功能的电路

这次的实训中,通过对电路的连接也懂得了如何通过设计的分析对所连电路的整体布局,如何更好的房子芯片在最合适的位置,在导线的连接上,如何选择导线的走向是关键,我们应该尽量保证所连电路的简捷,宁短勿长,合理布线。

(四),和同学的互助协作共同进步

在实习中经常遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人身上当学到更多,在设计时间和同学相互交流各自的想法也是很重要的,不同的人对问题的看法不同,我们可以从交流中获得不同的方案,其他人的设计一定有比你,出色的地方很好的借鉴,并在大家的商讨中选择最优秀的方案,最终一定会得到最好的设计方法。这次实习使我收获不小。让我对数字电路的设计有了更多的了解,也让我在数字电路方面的知识有了不少提高,对电路器件有了初步的了解,通过实习把课本上知识运用到实践当中,更深刻的了解书本知识的内涵。在这次的课程设计中,让我认识到理论的重要性,怎样才能正确的把它运用到实践中才是学习这门课程的目的,也让我的态度有了很大的变化,更清楚的认识到我们学习的目的不只在于考很高的成绩,还要求我们能够把所学的东西能够正确的运用到实践中去,我想这也是我们学习这门课程的目的,在设计过程当中必须要有耐心和毅力,在布线过程中存在着很多的细节上的问题,必须要有耐心去检察电路,要认真的看每一条线路,发现问题思考其原因并解决。在实习中在很大程度上增强了动手能力,锻炼了我们处理一些问题的能力,让我们学到了不少的知识。

 

第二篇:EDA实训报告(改装版)

EDA实训报告

班级:电气A141班 姓名: 石 磊 学号:2014147030 指导教师:王书平

目 录

前言

一、实训目标

二、实训要求

三、实训内容及指导

四、实训题目

五、实训的步骤及成果(电路设计的步骤,语言编写的程序等)。

六、总结

前言

PCB是电子产品中的重要部件之一,近年来,国内有相当数量的群众从事电路设计和制板(PCB)。本教程最终目标就是要解决如何设计PCB的问题。基于市场对担任一线绘图和制板技术人员工作岗位的需要,采取“职业活动导向,工作任务驱动,项目载体”的教学原则,“做学教一体化”的教学模式,本着“易学、够用、实用”的原则,结合学生认知规律和学习特点,由易到难设置了8个真实项目,以各个任务作引领,以技能训练作为主线,体现从做中学的必备技能和知识。

EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA实训可以增强我们的动手和独立思考的能力,为我们以后的学习和生活做了更好的铺垫。通过实训,可以让我们更好的认识自己的错误和不足,并且要及时的予以改正。通过一学期的学习,虽然让我学到了很多,但是我也知道我有很多不足,需要改正,我希望在实训期间可以更好的学习这门课程,取长补短,争取做到更好。

一、实训目标

通过《EDA简介与Protel99》实训环节,使学生巩固电子设计自动化课程内容,更新数字电路的设计观念,建立应用虚拟电子工作台(EWB)仿真设计的思想。掌握电子电路设计的基本过程,获得一定的实际操作技能和电子线路调试实践经验。通过Protel99实训使学生获得电路板制作的基本知识及一定的实际操作技能和电路板制作实践经验。通过硬件可编程软件MAX+plusⅡ及VHDL语言的练习,掌握一定的数字电路的开发知识,进一步提高学生分析问题、解决问题的能力,以巩固和加深所学的理论知识。并培养学生本专业的思想。

二、实训要求

1、熟练掌握EWB的基本操作;

2、掌握EWB仿真、设计、分析的方法;

3、熟练掌握Protel99的基本操作;

4、掌握原理图的规划、层次图的规划和绘制;

5、熟悉PCB图的生成过程;

6、熟悉MAX+plusⅡ及VHDL语言;

7、了解可编程逻辑器件的设计过程;

8、实事求是、严格按规律科学组织设计的思想

三、实训内容及指导

第一环节:选定实训项目,应用Protel99:

1、画原理图;

2、设计双面印制电路板;

3、原理图元件库及其PCB元件库的创建;

第二环节:利用EWB,进行电路仿真

1、设计一个应用电路;

2、对设计电路运行、仿真,并应用直流、交流等方式仿真电路;

3、得出电路工作报告;

第三环节:硬件可编程逻辑设计

1、应用VHDL语言,完成电路设计;

2、利用MAX+plusⅡ进行软件仿真;

四、实训题目

实训题目:232-485总线的设计。

五、实训的步骤及成果(电路设计的步骤,语言编写的程序等)

绘制原理图的步骤:

1、启动Protel99se,新建一个设计数据库文件,名称定为“文件名”.ddb。

2、启动电路原理图编辑器,建立一个原理图文件,命名为“文件名”.sch。

3、先分析电路图中所有元器件的属性,装入元器件库Sim.ddb Miscellaneous Devices.ddb 和Protel DOS Schematic Libraries.ddb。

4、然后把所有元器件和端口放置到电路原理图纸上,并把位置放好。

5、按照上图所示,给相应的引脚添加网络标签,并连接所有的连线。

6、保存电路原理图(如下)。

EDA实训报告改装版

232-485总线电路设计原理图(sch文件)

在画原理图时,虽然遇到了很多问题,但是经过我和同学的努力,我们都逐一克服了,以下就是我遇到的问题:

1、芯片及元器件:有些芯片或元器件不易查找,可以添加小助手来查找,那样更方便。有些芯片或元器件在软件里面根本找不到,这就需要自己建立一个库文件,然后自己画芯片或元器件。

2、连线:连线时要用导线,不可以用别的线。如果误用其他的线,在制作PCB板时容易出错,需谨慎处理。

EDA实训报告改装版

232-485总线电路原理图(PCB文件)

绘制PCB板时遇到的问题:

六、总结

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对protel99SE软件的一般项目的操作和学到了处理简单问题的基本方法,语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。最后还要感谢学校为我们提供这样专业的实践平台还有王老师在一周实训以来的不断指导和同学的热情帮助。

总的来说,这次实训我收获很大。同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。