数字电子电路 数电 实验报告 组合逻辑电路设计

组合逻辑电路设计

一、实验目的

1、掌握用基本门电路实现组合电路的设计方法。

2、掌握实现组合电路的连接及调试方法。通过功能验证锻炼解决实际问题的能力。

二、实验内容

(一)概论

设计电路的一般过程:实际逻辑问题→抽象逻辑问题→列真值表→画卡诺图→图形化简→简化表达式→画出逻辑图

设计中应该注意的问题:卡诺图或公式化简是实现组合电路设计的关键步骤。为使电路简单,使用器件最少,往往要对不同的化简方法进行比较,得到一个合理的电路。对于多输出实现组合电路,为了使得总的逻辑电路最简,在各个输出函数化简时不能孤立地考虑各个输出函数如何化简,而应注意尽可能找出多个输出函数的同类项,使总体设计最简。

(二)实践

实验题目

实验要求:从实验内容所列的题目中选择一个题目进行设计,设计方法和方案不限。要求首先进行计算机仿真,实现题目功能。然后在数字实验系统中完成实际操作。自行设计测试表格,完成实际电路的测试。

(三)实验设计

(1)设计一个四人表决电路

要求:四人表决(用电平开关表决,当开关为高电平时表示同意,当开关为低电平时表示反对)时,当多数人通过时(三个以上开关为高电平)用发光二极管显示有效,否则发光二极管显示无效。试用基本逻辑门设计该电路。具体电路形式不限。并在实验台上进行调试及验证。

(2)器材:数字试验系统一台,TTL型集成电路与门74LS11,或门74LS32各一块。

(3)电路设计过程

根据设计任务要求建立输入、输出变量,并列出真值表:A、B、C、D为表决输入信号,Q为输出显示信号。

三、实验步骤

1、选好芯片,并连线

布线图

2、测试结果

 真值表

根据真值表写出逻辑表达式

用逻辑表达式化简法简化逻辑表达式

四、实验总结

本次实验总体效果较为满意,通过做表决器实验,了解到了芯片的用途,与书本相结合。各个方面都达到了预期的效果和目标。本次实验收获较大。

 

第二篇:FPGA 简单的组合逻辑电路设计实验报告

上海电力学院

实验报告

说明: 说明: 说明: 说明: 电力学院新校标

实验课程名称:   FPGA应用开发试验 

实验项目名称:简单的组合逻辑电路设计

班        级:               

姓名:     学号:      成绩:________  实验时间:   

一、实验目的

1、            掌握组合逻辑的设计方法。

2、            掌握组合逻辑电路的静态测试方法。

3、            加深PLD设计的过程,并比较原理图输入和文本输入的优劣。

二、实验原理

根据第三章学习的VHDL硬件描述语言来设计一定功能的电路。

三、实验步骤

1、    四舍五入判别电路

设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。

其VHDL描述语言为:

时序仿真波形为:

2、    控灯电路

设计四个开关控制一盏灯的逻辑电路,要求合任一开关,灯亮;断任一开关,灯灭。

其VHDL描述语言为:

功能仿真波形为:

时序仿真波形:

引脚分配:

程序下载:

之后在DE2上验证,实验结果与设计要求一致。

3、    优先排队电路

设计一个优先排队电路,排队优先顺序依次为A,B,C要求输出端最高只能有一端为“1”,即只能为优先级较高的输入端对应的输出端为“1”。

其VHDL描述语言为:

功能仿真波形为:

时序仿真波形:

引脚分配:

程序下载:

在DE2上验证,实验结果与设计要求一致。

实验连线:

1、四位拨码开关连d0,d1,d2,d3信号对应的管脚。

   Out1输出信号管脚接LED灯。

2、四位按键开关分别连k0,k1,k2,k3信号对应的管脚。

   y输出信号管脚接LED灯。

3、a ,b , c信号对应管脚分别连三个按键开关。

输出y1,y2,y3信号对应的管脚分别连三个LED灯。

五、实验小结

通过本次试验,我掌握了组合逻辑的基本设计方法。能够按照电路功能要求编写出基本的VHDL硬件描述语言,能合理的分配输入输出引脚,并能够在DE2上验证编写程序是否符合电路设计要求。当然,一开始又有对软件使用不熟练,也出现了一些问题,如引脚分配时未让输出对应LED灯,但是在自己的努力和多次实验的后,终于熟练起来。

相关推荐