电子线路CAD实训PCB

内容提要

本报告以大量实例为主线,介绍在Altium Designer 6平台下,进行原理图设计以及PCB板设计的具体方法,全报告共5部分:

1、Altium Designer 6的安装和设置;

简要介绍Altium Designer 6的基础知识,包括其前身Protel 系列软件的发展历史、Altium Designer 6的安装步骤、Altium Designer 6的系统界面及环境的设置方法。

2、电子线中原理图的设计;

首先介绍Altium Designer的原理图输入模块的操作界面,然后介绍常见的原理图设计过程、项目管理以及图纸设定方法,最后介绍一个简单原理图设计实例。

3、原理图元件库的设计;

介绍创建自定义原理图元件库的方法。

4、电子线路PCB电路板设计

介绍使用Altium Designer进行PCB板设计

5、PCB元件封装库设计。

简单介绍PCB元件封装编辑器,然后通过两个具体实例介绍使用元件封装编辑器自定义PCB元件封装的具体步骤。


目  录

1  Altium Designer 6 软件介绍及安装.

1.1 Altium Designer 6的简介 …………………………………………………

1.2 Altium Designer 6的安装 …………………………………………………

1.3 Altium Designer 6使用 ……………………………………………………

2  电子线路图原理图设计.

2.1原理图设计的大致流程 ………………………………………………………

2.2项目管理  ……………………………………………………………………

2.3 Altium Designer原理图编辑环境及其设置  …………………………

2.4 Altium Designer原理图文档设置   ………………………………………

2.5 Altium Designer原理图设计 ………………………………………………………

3 电路原理图元件库文件的设计.

3.1新建元件库文件    …………………………………………………………

3.2创建原理图元件库的具体步骤   ……………………………………………

4 电子线路PCB电路板设计.

4.1 PCB板设计流图 ………………………………………………………………

4.2设计过程 ………………………………………………………………………

4.3 PCB设计环境   ………………………………………………………………

4.4 PCB手工布线 …………………………………………………………………

4.5由原理图生成PCB   …………………………………………………………

5  PCB元件封装库设计.

5.1元件封装库的意义   …………………………………………………………

5.2元件封装 ………………………………………………………………………

6  实习体会.

7  参考文献.


1  Altium Designer 6 软件介绍及安装

1.1 Altium Designer 6的简介

随着电子制造技术的飞速发展,各大电子器件不断推陈出新,电子器件日益大规模化、高密度化和小型化。电子产品对于速度、容量、体积和重量等技术指标的要求不断提高,传统的手工设计越来越难以适应市场发展的需要。因此,越来越多的设计人员使用一些快速、高效的CAD设计软件来进行辅助电路原理图、PCB图的设计,打印各种报表,控制电路板的生产,电路设计在方法和手段上发生了革命性的变化。为提高设计效率,人们进而提出了智能型EDA(Electronics Design Automation)即电子设计自动化的概念,并开发了相应的EDA工具软件。这种工具软件可以根据系统的行为和功能要求,自动地逐层完成电子产品设计的全过程,包括原理图和语言输入、检查错误和仿真验证、PCB设计、信号分析和规则检查以及生成CAM文件等。

20##年初,原Protel软件的开发商Altium公司推出了Protel系列的最新高端版本Altium Designer 6.0,目前其最新版本为6.7。Altium Designer 6.x是一个一体化的电子产品开发系统,是目前唯一一款能实现所有电路板级设计功能的软件。Altium Designer 6 将设计流程、集成化PCB 设计、可编程器件(如FPGA)设计和基于处理器设计的嵌入式软件开发功能整合在一起,具备同时进行PCB和FPGA设计以及嵌入式设计的能力,能实现将设计方案从概念转变为最终成品所需的全部功能。

Altium Designer 6除了全面继承包括99SE,Protel DXP在内的先前一系列版本的功能和优点以外,还增加了许多改进和很多高端功能。该平台拓宽了板级设计的传统界限,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程师能将系统设计中的FPGA与PCB设计以及嵌入式设计集成在一起。

Altium Designer 6以强大的设计输入功能为特点,在FPGA和板级设计中,同时支持原理图输入和HDL硬件描述输入模式;同时支持基于VHDL的设计仿真,混合信号电路仿真、布局前/后信号完整性分析。Altium Designer 6的布局布线采用完全规则驱动模式,并且在PCB布线中采用了无网格的SitusTM拓扑逻辑自动布线功能,将完整的CAM输出功能的编辑结合在一起。

随着电子技术技术的飞速发展,各类电子器件不断推陈出新,电子器件日益大规模化、高密度化和小型化。因此,越来越多的设计人员使用一些快速、高效的CAD设计软件来进行辅助电路原理图、PCB图的设计,打印各种报表,控制电路板的生产,电路设计在方法和手段上发生了革命性的变化。为提高设计效率,人们提出了智能型EDA,即电子设计自动化的概念,并开发了相应的EDA工具软件。

20##年初,原Protel软件的开发商Altium公司推出了Protel系列的高端版本Altium Designer 6.0,目前其最新版本为6.7。Altium Designer 6.x 是一个一体化的电子产品开发系统,是目前唯一一款能实现所有电路板级设计功能的软件。Altium Designer 6将设计流程、集成化PCB设计、可编程器件设计和基于处理器设计的嵌入式软件开发功能整合在一起,具备同时进行PCB和FPGA设计以及嵌入式设计的能力,能实现将设计方案从概念转变为最终产品所需的全部功能。

Altium Designer 6除了全面继承包括Protel 99 SE,Protel DXP 在内的先前的一系列版本的功能和优点以外,还增加了许多改进和许多高端功能。

Altium Designer 6以强大的设计输入功能为特点,在FPGA和板级设计中,同时支持原理图输入和HDL硬件描述输入模式;同时支持基于VHDL的设计仿真,混合信号电路仿真、布局前后信号完整性分析。

1.2Altium Designer 6的安装

Altium Designer 6的安装过程与其他软件类似,其具体步骤如下。

(1)打开安装盘,双击“setup”目录下的“setup.exe”文件,弹出安装向导欢迎窗口。

(2)单机安装向导欢迎窗口的“Next”按钮,显示“License Agreement”视图。

(3)选中“License Agreement”视图中的“I accept the license agreement”前的单选按钮,即同意该协议,单击“Next”按钮,显示“User Information”视图。

(4)在“User Information”视图中的“Full Name”编辑框内输入用户名称,在“Organization”编辑框内输入单位名称,在使用权限选项中选中使用权限的范围中的“Anyone who uses this computer”前的单选按钮,表示这台计算机上的所有用户都能使用Altium Designer 6,“Only for me”单选项则表示只有在当前安装Altium Designer 6的用户帐号下才能使用Altium Designer 6软件。单击“Next”按钮,显示“Destination Folder”视图。

(5)在“Destination Folder”视图的“Destination Folder”区域显示了即将安装Altium Designer 6的安装路径,若想更改安装路径,单击“Browse”按钮,打开安装路径选择对话框。

(6)在安装路径选择对话框中选择安装路径,单击“OK”按钮,确定安装路径,单击“Destination Folder”视图中的“Next”按钮,打开“Ready to Install the Application”视图。

(7)确定以上安装信息设定无误后,单击“Ready to Install the Application”视图中的“Next”按钮开始安装,过程中,文件复制窗口内将显示操作过程和文件复制进度,以及安装剩余时间等信息。

(8)文件复制完毕后,系统弹出安装完毕窗口,单击“Finish”按钮,结束安装。

至此,Altium Designer 6的文件安装过程工作基本结束,但此时软件仍不能正常工作,需要使用软件许可证文件或网络许可证将其激活,才能正常使用该软件。

Altium Designer 6的激活

Altium Designer 6只有在启动后才能激活,Altium Designer 6的启动方式有如下两种。

(1)单击计算机桌面下方的“开始”按钮,在弹出的启动菜单中选择“Altium Designer 6”选项,即可启动Altium Designer 6。或者在计算机桌面上选择“开始”->“程序(P)”->“Altium Designer 6”->“Altium Designer 6”命令项,也可启动Altium Designer 6

激活前的Altium Designer 6启动画面的右上角显示“unlicensed”字样,表示该软件尚未被激活。

启动后,Altium Designer 6将自动新建一个名为“Workspace1.DsnWrk”的工作台,此时工具栏中的快捷按钮都处于不可用状态,系统自动打开 “DXP Licenses management”页面,以红色显示“There are no active lincenses. Use the options to add or choose a license”,提示未找到激活许可证,要求用户设置或添加许可证以激活Altium Designer 6。

Altium Designer 6只有在启动后才能激活,Altium Designer 6添加许可证文件步骤如下。

(1)在“DXP Licensing”栏内的“Licensing Mode”选项栏中选择软件许可证类型,“standalone”表示单机版软件许可证,“Network”表示网络版软件许可证。选中“Standalone”前的单选按钮,然后单击“Available License”区域内的“Add license file”超链接,打开“打开”对话框。

(2)在“打开”对话框中选择许可证“*.alf”文件,单击“打开”按钮,将许可证文件添加到Altium Designer 6系统中。

添加许可证后,“DXP Licenses management”页面中的“License Mode”区域内的红色提示消失了,“Available Licenses”区域内的Licenses列表中添加了一行许可证文件状态。工具栏中的快捷工具按钮被激活,处于可用状态。

(3)选择“Help”←“about”命令,打开版本信息窗口。

版本信息窗口中显示蓝色的“licensed to XXX”字样,表示该软件已被激活。

完成Altium Designer 6安装,了解了系统的基本功能后,用户可对Altium Designer 6的系统环境参数进行设置,以适应自己的操作习惯。

1.3 Altium Designer 6使用

Altium Designer 6软件界面简介

Altium Designer 6软件默认启动后的工作界面,该操作界面由系统主菜单、浏览器工具栏、系统工具栏、工作区和工作区面板五大部分组成。

Altium Designer 6的文件类型

Altium Designer 6中共有PCB项目、FPGA项目、嵌入式系统项目和集成元件库4种项目类型。它们文件格式分别如下。

PCB项目文件的后缀为.PrjPCB

FPGA项目文件的后缀为.PrjFpg

嵌入式系统项目文件的后缀为.PrjEmb

集成元件库文件的后缀为.LibPkg

完成Altium Designer 6安装,了解了系统的基本功能后,用户可对Altium Designer 6的系统环境参数进行设置,以适应自己的操作习惯。

Altium Designer 6系统参数设置

启动Altium Designer 6后,在主菜单中选择 “DXP”->“Preferences”命令,打开“Preferences”对话框。

“Preferences”对话框由左右两部分组成,左侧是树型列表,显示所有的选项卡标题,右侧是选项卡,显示左侧的树型列表中选中的选项设置页面内容。Altium Designer 6将绝大部分的参数设置整合到一个“Preferences”对话框中,共包含 “System”(系统)、“Schematic”(原理图编辑)、“FPGA”(FPGA设计)、“Version Control”(版本控制)、“Embedded System”(嵌入式系统设计)、“PCB Editor”(印刷电路板编辑器)、“Text Editor”(文本编辑器)、“CAM Editor”(CAM编辑器)和“Wave”(波形编辑器)共9个选项组,分别针对系统和8个功能模块的设置。本节仅介绍有关系统设置的页面内容。

在“System”选项组中共有12个选项卡,分别是“General”通用选项页、“View”视图选项页、“Altium Web Update”网络升级选项页、“Transparency”透明度选项页、“Navigation”导航选项页、“Backup”备份选项页、“Project Panel”项目面板选项页、“File Types”文件类型选项页、“New Document Default”新文档选项页、“File Locking”文件锁定选项页、“Installed Libraries”已安装的库选项页和“Scripting System”描述系统选项页。

Altium Designer 6的界面自定义

Altium Designer 6支持用户自定义设计界面,用户可以根据自己的操作习惯定制编辑器菜单条、工具栏和快捷操作面板等。所有的资源均由设计管理器管理,默认的资源设定存储在一个名为“DXP.rcs”的文件中,本节将通过添加菜单命令实例介绍自定义界面的方法。

(1)启动Altium Designer 6,在主菜单中选择“DXP”->“Customize…”命令,打开 “Customizing PickATask Editor”对话框

“Customizing PickATask Editor”对话框包含“Commands”和“Toolbars”两个选项卡,其中“Commands”选项卡用于对菜单内的命令进行调整,“Toolbar”选项卡用于在界面中添加完整菜单或工具栏。

(2)在“Customizing PickATask Editor”对话框中单击“Commands”选项卡中的“New”按钮,打开 “Edit Command”对话框。

(3)单击“Edit Command”对话框的“Action”选项区域内的“Process”编辑框右侧的“Browse”按钮,打开 “Process Browser”对话框。

(4)在“Process Browser”对话框中选择“Client:FullScreen”命令,单击“OK”按钮,将该动作添加到“Edit Command”对话框的“Progress”编辑框中。

(5)在“Edit Command”对话框的“Caption”区域内的“Caption”编辑框中输入新建的命令项的名称“Full Screen”。在“Description”编辑框内输入对该命令的描述语言“全屏”。

(6)在“Edit Command”对话框的“Shortcut”区域内单击“Primary”下拉列表,在弹出的列表中选择“Ctrl+F”作为新建命令的快捷键,然后单击“Edit Command”对话框中的“OK”按钮,新建一个命令。

(8)在“Customizing PickATask Editor”对话框的“Commands”列表中选择“Custom”项,移动鼠标到右侧的“Commands”列表中新建的命令“Full Screen”上方,按下鼠标左键,将其拖到主菜单“File”菜单栏中。

至此,用户就在选中的菜单中添加了一个自定义的命令“Full Screen”,当选择该命令时,Altium Designer 6将转换为全屏显示状态。

Altium Designer原理图编辑的操作界面介绍

启动Altium Designer后,系统并不会进入原理图编辑的操作界面,只有当用户新建或打开一个PCB项目中的原理图文件后,系统会进入原理图编辑的操作界面

DXP Schematic Editor操作界面由工作区、主菜单、快捷工具栏、悬浮窗口、活动面板等构成,具体介绍如下

1)主菜单

主菜单位于操作界面的上方。Altium Designer中的绝大部分操作均可通过在主菜单中选择相应的命令实现。当用户对不同类型的文档进行操作时,主菜单的内容会自动更新,以适应操作的需要。

2)工具栏

根据工具栏内工具按钮的功能,Altium Designer的工具栏分为“Schematic Standard”工具栏、“Utility”工具栏、“Navigation”工具栏、“Formatting”工具栏和“Mixed Sim”工具栏


电子线路图原理图设计设计流程

2.1原理图设计的大致流程

电路原理图设计是EDA设计的基础,原理图设计的大致流程如下

2.2项目管理

在进行电路应用设计时,一个电子应用将涉及大量不同类型的文件,例如原理图文件、PCB图文件、各种报表文件等等,如何有效的管理这些文件将是一件比较复杂的事情,Altium Designer提供了项目管理功能对文件进行管理,在Altium Designer中一个应用设计有关的多个文件被包含在一个项目中,而多个具有相似特征的项目被包含在一个工作空间中,用户的设计是以项目为单元的,在进行原理图设计前需要新建项目、设置项目选项等操作,好的项目设置会使设计的结构清晰明确,便于项目参与者理解,本节将介绍Altium Designer中的项目管理操作。

创建项目文档

Altium Designer启动后会自动新建一个默认名为“Workspace1.DsnWrk”的设计空间,用户可直接在该默认设计空间下创建项目,也可以自己新建设计空间

项目选项设置

建立一个项目文件后,根据实际情况需要对项目的设置进行调整

(1)在主菜单中选择“Project”->“Project Options…”命令,打开如图2.27所示的“Options for PCB Project ExamplePCB_Project.PrjPcb”对话框。

(2)单击“Options for PCB Project ExamplePCB_Project.PrjPcb”对话框上部的“Options”标签,打开如图2.28所示的“Options”选项卡。

(3)在“Options”选项卡中选择需要的各项目选项,建议在“Output Option”选项区域选中“Open outputs after compile”项、“Time stamp folder”项和“Use separate folder for each output type”复选项;在“Netlist Options”选项区域选中“Allow Ports to Name Nets”项、“Allow Sheet Entries to Name Nets”项和“Power Port Names Take Priority”项;在“Net ldentifier Scope”区域选择“Automatic(Based On project contents)”项;在“Allow Pin-Swapping Using These Methods”区域选择“Adding/Removing Net-Labels”项和“Changing Schematic Pins”项。

(5)单击“OK”按钮,完成项目基本选项的设置。

2.3Altium Designer原理图编辑环境及其设置

在Altium Designer 6中进行原理图设计的具体步骤如下。

一、新建PCB项目及原理图文件

Altium Designer中的设计是以项目为单位的,通常一个PCB设计项目中包含原理图文件和PCB文件,在进行原理图设计前,需要创建一个PCB设计项目,然后再在新建的PCB项目中添加空白原理图文档,当打开新建的原理图文档时,系统会自动进入原理图编辑界面。

二、设置原理图编辑界面的系统参数和工作环境

三、布置元件并调整元件属性和布局

四、原理图布线

原理图布线就是利用“Wiring”工具栏中的连线工具将图纸上的独立元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。

五、检查、仿真、校对及线路调整

六、输出报表,保存文件

原理图校对结束后,用户可利用系统提供的各种报表生成服务模块创建各种报表,例如网络列表、元件列表等。为后续的PCB板设计做准备。获得报表输出后,保存原理图文档或打印输出原理图,设计工作结束。

2.4、Altium Designer原理图文档设置

对操作环境的设置将应用于,接下来需要设定当前文档的选项,调整原理图文档的网格系统和页面规格。这些设置将只应用于当前文档中,设置的步骤如下。

(1)启动Altium Designer,选择打开2.3.1节创建的“MyWork.DSNERK”设计空间,系统自动调入设计空间中的PCB项目“ExamplePCB_Prj1.PrjPcb”,双击该项目下的“ExampleSheet.SchDoc”文件,进入原理图编辑界面。

(2)在原理图编辑窗口的工作区中单击鼠标右键,然后在弹出的快捷菜单中选择“Document Options…”命令,或直接选择“Design”->“Document Options…”命令,打开如图2.50所示的“Document Options”对话框。

“Preferences”对话框中“Schematic”选项组中有11个选项卡,分别用于设置原理图绘制过程中的各类功能选项。分别介绍如下:

<1>“General”选项卡。该选项卡主要用于原理图编辑过程中的通用项的设置。

<2>“Graphical Editing”选项卡。该选项卡主要对原理图编辑中的图像编辑属性进行设置。

<3>“Mouse Wheel Configuration”选项卡。该选项卡用于设置鼠标滚轮的功能。

<4>“Compiler”选项卡。该选项卡用于设置原理图编译属性

<5>“AutoFocus”选项卡。该选项卡用于设定自动强调对象的属性。

<6>“Library AutoZoom”选项卡。该选项卡用于设置元件库中的元件显示的自动缩放选项。

<7>“Grid”选项卡。该选项卡用于设置原理图绘制界面中的网格选项。

<8>“Break Wire”选项卡。该选项卡用于设置使用“Break Wire”命令后,到先断开的状态以及操作时的显示状态。

<9>“Default Units”选项卡。该选项卡用于设置系统默认的长度单位。

<10>“Default Primitives”选项卡。该选项卡用于设置各图元对象的默认初始参数。

<11>“OrCAD”选项卡。该选项卡用于设置导入OrCAD文件时的选项。

2.5 Altium Designer原理图设计

布置图元对象

典型的电路原理图是由各种电路符号和连接这些电路元件符号的导线以及标注符号组成,用户设计原理图的过程,主要就是在原理图的图纸上布置各种原理图图元对象,然后通过电路连线或网络标号等标志各图元对象之间联结关系的过程。这些图元既包括具有电气属性的图元,例如电子元件符号、导线、网络标号、电源端口等电气对象,也包括不具有电气属性的图元,如线段、圆形、矩形、多边形等非电气对象,还包括一些标记符号。

2.6原理图实例

新建项目文档

在进行原理图设计之前需要新建一个PCB项目文档,步骤如下。

(1)单击桌面“开始”按钮,在弹出的菜单中选择“Altium Designer”图标,启动Altium Designer。

(2)单击工作区右侧的“Projects”标签,打开“Projects”工作面板。

(3)单击“Projects”工作面板上的工作空间下拉列表,在弹出的列表中选择第一章中新建的“ExampleWorkspace”工作空间,将其设置为当前的工作空间。

(4)单击“Projects”工作面板上的“Workspace”按钮,在弹出的菜单中选择“Add New Project”->“PCB Project”命令,在当前工作空间中添加了一个默认名为“PCB_Project1.PrjPcb”的PCB项目文件。

(5)单击“Projects”工作面板中的“Project”按钮,在弹出的菜单中选择“Add New to Project”->“Schematic”命令,在新建的PCB项目中添加一个默认名为“Sheet1.SchDoc”的原理图文件。

(6)在主菜单中选择“File”->“Save”命令,或者单击工具栏中的保存工具按钮,打开如图2.130所示的“Save [Sheet1.SchDoc] As…”对话框。

(7)在 “Save [Sheet1.SchDoc] As…”对话框的“文件名”编辑框中输入“digit_meter”,单击“保存”按钮,将原理图文件另存为“digit_meter.SchDoc”。

(8)在“Projects”工作面板上选择“PCB_Project1.PrjPcb”名称,在主菜单中选择“File”->“Save Project”命令,打开“Save [PCB_Project1.PrjPcb] As…”对话框。

(9)在“Save [PCB_Project1.PrjPcb] As…”对话框的“文件名”编辑框中输入“Digit_meter_PCB”,单击“保存”按钮,将PCB项目文件保存为“Digit_meter_PCB .PrjPcb”。

(10)在主菜单中选择“File”->“Save Workspace As”命令,打开“Save [ExampleWorkspace.DsnWrk] As”对话框,单击保存按钮,保存该工作空间。创建完成后“Projects”工作面板上显示项目。

原理图(一)两级阻容耦合放大电路

原理图(二)双路直流稳压电源


3  电路原理图元件库文件的设计

3.1 新建元件库文件

在自定义原理图元件库之前,用户需要创建一个库文件,本小节将介绍具体步骤。

(1)启动Altium Designer,单击工具栏中的新建按钮,在弹出的Files面板中选择“New”->“Library”->“Schematic Library”命令,新建一个默认名称为“Schlib1.SchLib”的原理图库文件。

(2)单击工具栏中的保存按钮,打开“Save[Schlib1.SchLib] As”对话框,在文件名编辑框中输入“custom”,单击“保存”按钮,将原理图元件库文件名称改为“custom.SchLib”,并保存。

完成以上步骤后,一个名为“custom”的空白元件库文件就创建完毕了。

3.2创建原理图元件库的具体步骤

通过为“custom”元件库添加一个原理图元件的实例,介绍元件的自定义方法。

启动Altium Designer,打开“custom.SchLib”文件,显示如图5.1所示的原理图元件编辑界面。

在工作区单击鼠标右键,在弹出的右键菜单中选择“Options”->“Document Options”命令,打开 “Library Editor Workspace”对话框。

单击“Library Editor Workspace”对话框上部的“Unit”选项卡标签,打开 “Unit”选项卡。

图5.3  “Unit”选项卡

(4) 在“Unit”选项卡内的“Imperial Unit System”选项区域内勾选“Use Imperial Unit System”选项框,将绘图单位设置为英制。

(5)单击“Library Editor Workspace”对话框上部的“Library Editor Options”选项卡标签,打开“Library Editor Options”选项卡。

(6)在“Library Editor Workspace”对话框中的“Grids”选项区域内的“Snap”编辑框中输入“1”,将对齐网格的边长设置为1,然后单击“OK”按钮,关闭“Library Editor Workspace”对话框。

(7)选择“Place”->“Polygon”命令,或者单击工具栏中的绘图工具按钮,在弹出的工具栏中选择绘制多边形工具,单击键盘上的“Tab”键,打开 “Polygon”对话框。

(8)单击“Polygon”对话框中的“Fill Color”色彩块,打开如图5.5所示的“Choose Color”对话框。

(9)在“Choose Color”对话框中单击第“218”号色彩,单击“OK”按钮,将填充颜色设置为淡黄色。

(10)采用同样方法,将“Border Color”设置为第“235”号棕色,单击“Border Width”右侧的选项,在弹出的下拉列表中选择“Small”,单击“OK”按钮,结束多边形的设置。

(11)分别在工作区内坐标为(60,40)、(-60,60)、(-60,-60)和(60,-40)的四个点上单击鼠标左键,绘制等腰梯形,然后单击鼠标右键结束多边形的绘制。

(12)选择主菜单中的“Place”->“Pins”命令,或者单击工具栏中的绘图工具按钮,在弹出的工具栏中选择添加引脚工具按钮,然后单击键盘上的“Tab”键,打开 “Pin Properties”对话框。

(13)在“Pin Properties”对话框中的“Display Name”编辑框中输入“IR1”,设置引脚的名称为IR1,在“Designator”编辑框中输入“1”,设置引脚编号为1,在“Electrical Type”下拉列表中选择“Output”,在“Description”编辑框中输入“恒流源输出1”单击“OK”按钮,关闭“Pin Properties”对话框。

(14)在工作区中坐标为(-20,53)的位置单击鼠标左键,布置的1号引脚

(15)按照图5.9所示位置,布置其他引脚,布置过程中可使用键盘的空格键旋转引脚,布置时只需注意引脚的编号正确,引脚名称和类型可在下一步进行编辑更正。

(16)单击工作区域右侧的“SCH Library”选项页标签,打开 “SCH Library”选项页。

(17)在“SCH Library”选项页上部的元件列表中选择当前元件“Component_1”,单击“Edit”按钮,打开 “Library Component Properties”对话框。

(18)单击“Library Component Properties”对话框左下方的“Edit Pin”按钮,打开 “Component Pin Editor”对话框。

(19)在“Component Pin Editor”对话框中有一个当前引脚的列表,用户可以直接修改引脚的各种属性。

(20)各引脚属性编辑完成后,单击“OK”按钮,关闭“Component Pin Editor”对话框,此时XTR105P的原理图如图所示。

(21)在“SCH Library”选项页上部的元件列表中选择当前元件“Component_1”,单击“Edit”按钮,再次打开“Library Component Properties”对话框。

(22)在“Library Component Properties”对话框中的“Properties”选项区域内的“Default Designator”编辑框内输入“U?”,在“Description”编辑框内输入“4-20mA 电流变送芯片”。然后在“Library Link”选项区域内的“Physical Component”编辑框内输入“XTR105”,然后单击“OK”按钮,关闭“Library Component Properties”对话框。

(23)单击工作栏中的保存按钮,保存原理图元件库文件。

至此,一个名为“XTR105”的器件的原理图就完成了。

“custom”元件库添加原理图元件二步骤类似元件一


4  电子线路PCB电路板设计

4.1 PCB板设计流程图

 


4.2设计过程。

(1)绘制编译原理图

(2)添加PCB文件

(3)同步PCB文件

(4)PCB板元件布局规则设置

(5)布线规则设置

(6)输出生产制造文件

4.3 PCB设计环境。

设计环境简介如下:

通过创建或打开PCB文件,即可启动PCB设计界面,PCB设计界面与原理图设计界面类似,由主菜单、工具栏、工作区和工作面板组成,工作区面板可以通过移动、固定或隐藏来适应设计者的工作环境。

1)主菜单。该菜单中包括了与PCB设计有关的所有操作命令。

2)工具栏。PCB编辑器中的工具栏由“Standard”工具栏、“Utilities”工具栏、“Filter”工具栏、“Wiring”工具栏和“Naviation”工具栏组成。

(1)绘图工具按钮。该工具栏用于绘制直线、圆弧等不具有电气性质的图元。

(2)对齐工作按钮。该工具栏用于对齐选择的图元对象。

(3)查找工具按钮。该工具栏用于查找元件或者元件组。

(4)标注工具按钮。该工具栏用于标注PCB图中的尺寸。

(5)区域工具按钮。该工具栏用于在PCB图中绘制各种分区。

(6)栅格工具按钮。该工具栏用于设置PCB图中的对齐栅格的大小。

(7)“Wiring”工具栏。该工具栏用于绘制具有电气意义的铜膜导线、过孔、PCB元件封装等图元对象。

3)工作区。工作区用于显示和编辑PCB图文档。

4)工作面板。PCB编辑器中的工作面板与DXP Schematic Editor中的工作面板类似,单击工作面板标签可以打开其对应的工作面板。

4.4 PCB手工布线图

4.5由原理图生成PCB板

1)在项目中新建PCB文档,将新建的PCB文档保存为“digit_meter.PCBDOC”文件。

2 ) 设置PCB板,PCB板的形状、大小,布线区域和层数设置完毕

3)导入元件

4)元件布局

5)PCB自动布线

(1)在主菜单中选择“Auto Route”->“All”命令,打开如图7.88所示的“Situs Routing Strategies”对话框。

(2)在 “Situs Routing Strategies”对话框内的“Availale Routing Strategies”列表中选择“Default 2 Layer Board”项,单击“Route All”按钮,启动Situs自动布线器。

(3)单击保存工具按钮,保存PCB文件。

至此,PCB自动布线就结束了,通过整个PCB板生成的过程的学习,可以体会得到,使用Altium Designer 进行PCB板的设计过程非常简单,可靠。

自动布线电路原理图(一)

生成PCB板:

自动布线电路原理图(二)

生成PCB板:


5  PCB元件封装库设计

 5.1元件封装库的意义

为方便用户处理设计中的PCB元件封装,Altium Designer提供了PCB元件封装编辑器,用户可以在该编辑器中对PCB元件封装库进行编辑操作,包括复制PCB元件封装,删除PCB元件封装、新建自定义的PCB元件封装以及修改PCB元件封装等等操作。

5.2元件封装

PCB元件封装编辑器在用户新建或打开一个PCB元件封装库文件后,将会自动启动,与PCB图编辑器界面相比,PCB元件封装编辑器界面少了一些布线的工具栏,多了一个名为“PCB Library”的工作面板,该工作面板用于管理PCB元件封装库中的元件封装。

(1)PCB元件封装管理

在PCB元件封装编辑器的“PCB Library”工作面板中,用户可对PCB元件封装库中的PCB元件封装进行管理,进行复制、粘贴、导入、删除PCB元件封装操作

(2)复制PCB元件封装

当需要从PCB原件封装的复制

(3)  自定义PCB元件封装

在PCB元件封装编辑器环境中,用户可手工定义PCB元件封装

5.3电路图

实例1

实例2


实训体会

经过一周的电子线路CAD实训,我的体会良多。

在这次的实训中,我不仅了解到实在的学习内容,掌握了学习方法和技巧。我们知道了Altium Designer 6是一个最新的板级电路设计系统,它完美地综合了原理图绘制、电路仿真、PCB设计、设计规则检查、FPGA及逻辑器件设计等功能,为用户提供了全面的设计解决方案。

这一周的时间里,在老师的带领下我们以作图为主,渐渐地了解Altium Designer 6这一电路设计系统。在作图的过程中我常常碰到很多的困难,但是在老师、同学的帮助下我改正了很多不足。总之在这两星期的实训中我学会了很多,我感觉这些绘图技巧是在平时中学不到的,我每天都过的很充实,每天都有做不完的图,一切感觉很好,很充实。

在我们学完《模拟电子技术》、《数字电子技术》、《电力电子技术》和《微机原理与接口》等课程后,通过电子线路CAD训练这一训练实习环节,可以进一步巩固我们所学的自动化专业中的基础知识,掌握构成自动化系统的电子线路设计的学习兴趣,培养我们对本专业的学习兴趣,培养学生实际工作的技巧和能力,使我们具有进行本专业电路的设计能力,为后续课程的学习奠定坚实的基础。

最后谢谢老师对我们精心的辅导。老师带给我们不仅仅是对一门课程内容的学习,而是对一门未知课程的学习及方法。由了解到深入的学习过程固然很辛苦,但是克服这些最终有利于自己我们收获则很多。

希望以后能够有更多的这种实训的机会,这一周感觉过的很充实


参考文献

1、Altium Designer6.6电路原理图与电路板设计教程,张子红 马鸣霄 刘鑫 常亮编著

2、Altium Designer教程,谷树忠 刘文洲 姜航 编著

3、Altium Designer实用宝典,北京:电子工业出版社,闰胜利编著,2007

4、Altium Designer 6.0 电路设计实用教程,北京:电子工业出版社,刘小伟编著,20##-2

5、Altium Designer快速入门,北京:北京航空航天大学出版社,徐向民,邢晓芬,华文龙,李磊编著,20##-11

相关推荐