篇一 :数字钟设计报告_南昌大学

数字钟设计报告

学生姓名:                             

学    号:                          

专业班级:                          

…… …… 余下全文

篇二 :数字电子时钟课程设计报告

数字电子钟课程设计报告

 题目:数字电子钟的设计与仿真

         专业:机械工程

前言

加入世贸组织以后,中国会面临激烈的竞争。这种竞争将是一场科技实力、管理水平和人才素质的较量,风险和机遇共存,同时电子产品的研发日新月异,不仅是在通信技术方面数字化取代于模拟信号,就连我们的日常生活也进于让数字化取缔。说明数字时代已经到来,而且渗透于我们生活的方方面面。

就拿我们生活的实例来说明一下“数字”给我们带来的便捷。下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,在显示上它用数字反应出此时的时间,相比模拟钟能给人一种一目了然的感觉,不仅如此它还能同时显示时、分、秒。而且能对时、分、秒准确校时,这是普通钟所不及的。与此同时数字钟还能准确定时,在你所规定的时间里准确无误的想你发出报时声音,提醒你在此时所需要去做的事。与旧式钟表相比它更适用于现代人的生活。

在毕业之际恰好遇上学校的毕业课题电子时钟设计毕业论文。因而在所学专业的基础上做了以下毕业设计。希望给大家带来方便的同时,使自己对所学专业有进一步的了解!

…… …… 余下全文

篇三 :数字时钟设计报告总结

数字时钟课程设计 第 1 页 共 19 页

数字时钟课程设计

目录

一、引言 ?????????????????????????????2

二.设计指标 ???????????????????????????2

1.指标要求??????????????????????????2

2.设计要求??????????????????????????2

三.原理设计 ???????????????????????????3

1.总体方案设计????????????????????????3

2.单元电路设计????????????????????????4

1)时间计数单元 ???????????????????????4

2)时间计数单元 ???????????????????????6

3)校时控制电路单元 ?????????????????????7

4) 555定时振荡电路 ?????????????????????7

5)整点报时电路???????????????????????8

3.总体电路??????????????????????????10

四.仿真调试 ???????????????????????????10

…… …… 余下全文

篇四 :数字钟设计报告

 物理与电气工程学院课程实践报告

         数字钟

姓    名:           

学    号:111102051  

专    业:电信      

指导老师:    

成    绩:          

日    期:          


               基于51单片机的数字钟

…… …… 余下全文

篇五 :VHDL数字钟设计报告

VHDL数字钟设计报告

一.  数字钟总体设计方案:

1.1设计目的

①正确显示时、分、秒;

②可手动校时,能分别进行时、分的校正;

③整点报时功能;

1.2设计思路

数字钟的设计模块包括:分频器、去抖动电路、校时电路、“时、分、秒”计数器、校时闪烁电路、整点报时和译码显示电路。

每一个功能模块作为一个实体单独进行设计,最后再用VHDL的例化语句将各个模块进行整合,生成顶层实体top。

该数字钟可以实现3个功能:计时功能、设置时间功能和报时功能。

二.数字钟模块细节

  2.1 分频器(fenpin)

    本系统共需3种频率时钟信号(1024Hz、512Hz、1Hz)。为减少输入引脚,本系统采用分频模块,只需由外部提供1024Hz基准时钟信号,其余三种频率时钟信号由分频模块得到。

     分频原理:为以1024Hz基准时钟经1024分频得到512Hz,1Hz频率时钟信号。

  分频器管脚

   代码:

library ieee;

…… …… 余下全文

篇六 :数字钟设计报告_南昌大学

数字钟设计报告

学生姓名:                             

学    号:                          

专业班级:                          

…… …… 余下全文

篇七 :数字钟课程设计报告

数电课程设计报告

1、前言。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2

2、设计任务。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2

3、方案论证。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。3

4、系统结构。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4

4.1系统结构框图。。。。。。。。。。。。。。。。。。。。。。。4

4.2系统各方框图的作用。。。。。。。。。。。。。。。。。5

5、整机电路设计。。。。。。。。。。。。。。。。。。。。。。。。。。6

5.1总电路图。。。。。。。。。。。。。。。。。。。。。。。。。。。6

5.2芯片管脚图。。。。。。。。。。。。。。。。。。。。。。。。。6

5.3整机电路元器件表。。。。。。。。。。。。。。。。。。。7

6、整机电路调试。。。。。。。。。。。。。。。。。。。。。。。。。。8

6.1调试步骤。。。。。。。。。。。。。。。。。。。。。。。。。。。8

6.2调试中发现的问题与解决办法。。。。。。。。。9

7、参考文献。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。9

…… …… 余下全文

篇八 :EDA课程数字钟设计报告

一、设计任务

设计一台能显示时、分、秒的数字钟。具体要求如下:

(1)  由实验箱上的时钟信号经分频产生秒脉冲;

(2)  计时计数器用24进制计时电路;

(3)  可手动校时,能分别进行时、分的校正;

(4)  整点报时;

二、 试验目的

(1)  掌握时十进制、六进制和二十四进制计数器的设计方法。

(2)  掌握多位计数器相连的设计方法。

(3)  掌握多位共阴极扫描显示数码管的驱动及编码。

三、总体设计方案

本数字系统实现数字钟的基本的计时功能,输入8Hz的时钟,通过分频产生1Hz的时钟信号,采用24/12小时制计时,能显示时、分、秒。本系统还具有校正功能,可以进行时分的校时,当计时器运行到59分59秒开始报时,另外还可以设定闹钟,当按下闹铃开关时,可在规定时间闹铃,当开关复位时,闹铃停止。

本数字钟实际上是一个对频率(1Hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时分频后的1Hz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

…… …… 余下全文